DE69133550T2 - Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle - Google Patents

Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle Download PDF

Info

Publication number
DE69133550T2
DE69133550T2 DE69133550T DE69133550T DE69133550T2 DE 69133550 T2 DE69133550 T2 DE 69133550T2 DE 69133550 T DE69133550 T DE 69133550T DE 69133550 T DE69133550 T DE 69133550T DE 69133550 T2 DE69133550 T2 DE 69133550T2
Authority
DE
Germany
Prior art keywords
bus
data
dram
master
clock signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69133550T
Other languages
English (en)
Other versions
DE69133550D1 (de
Inventor
Michael Portola Valley Farmwald
Mark Palo Alto Horowitz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rambus Inc
Original Assignee
Rambus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24032637&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE69133550(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Rambus Inc filed Critical Rambus Inc
Application granted granted Critical
Publication of DE69133550D1 publication Critical patent/DE69133550D1/de
Publication of DE69133550T2 publication Critical patent/DE69133550T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • G11C7/1066Output synchronization
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/006Identification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0215Addressing or allocation; Relocation with look ahead addressing means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/06Addressing a physical block of locations, e.g. base addressing, module addressing, memory dedication
    • G06F12/0646Configuration or reconfiguration
    • G06F12/0653Configuration or reconfiguration with centralised address assignment
    • G06F12/0661Configuration or reconfiguration with centralised address assignment and decentralised selection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/06Addressing a physical block of locations, e.g. base addressing, module addressing, memory dedication
    • G06F12/0646Configuration or reconfiguration
    • G06F12/0684Configuration or reconfiguration with feedback, e.g. presence or absence of unit detected by addressing, overflow detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/161Handling requests for interconnection or transfer for access to memory bus based on arbitration with latency improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1678Details of memory controller using bus width
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1689Synchronisation and timing concerns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1694Configuration of memory controller to different memory types
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/36Handling requests for interconnection or transfer for access to common bus or bus system
    • G06F13/368Handling requests for interconnection or transfer for access to common bus or bus system with decentralised access control
    • G06F13/376Handling requests for interconnection or transfer for access to common bus or bus system with decentralised access control using a contention resolving method, e.g. collision detection, collision avoidance
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4204Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus
    • G06F13/4234Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus being a memory bus
    • G06F13/4239Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus being a memory bus with asynchronous protocol
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4076Timing circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4096Input/output [I/O] data management or control circuits, e.g. reading or writing circuits, I/O drivers or bit-line switches 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/88Masking faults in memories by using spares or by reconfiguring with partially good memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/063Voltage and signal distribution in integrated semi-conductor memory access lines, e.g. word-line, bit-line, cross-over resistance, propagation delay
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/066Means for reducing external access-lines for a semiconductor memory clip, e.g. by multiplexing at least address and data signals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor
    • G11C7/1012Data reordering during input/output, e.g. crossbars, layers of multiplexers, shifting or rotating
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1015Read-write modes for single port memories, i.e. having either a random port or a serial port
    • G11C7/1045Read-write mode select circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • G11C7/1057Data output buffers, e.g. comprising level conversion circuits, circuits for adapting load
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • G11C7/1069I/O lines read out arrangements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1072Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers for memories with random access ports synchronised on clock signal pulse trains, e.g. synchronous memories, self timed memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1078Data input circuits, e.g. write amplifiers, data input buffers, data input registers, data input level conversion circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1078Data input circuits, e.g. write amplifiers, data input buffers, data input registers, data input level conversion circuits
    • G11C7/1084Data input buffers, e.g. comprising level conversion circuits, circuits for adapting load
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • G11C7/222Clock generating, synchronizing or distributing circuits within memory device
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • G11C7/225Clock input buffers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1008Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices
    • G06F11/1048Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices using arrangements adapted for a specific error detection or correction feature
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2207/00Indexing scheme relating to arrangements for writing information into, or reading information out from, a digital store
    • G11C2207/10Aspects relating to interfaces of memory device to external buses
    • G11C2207/105Aspects related to pads, pins or terminals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2207/00Indexing scheme relating to arrangements for writing information into, or reading information out from, a digital store
    • G11C2207/10Aspects relating to interfaces of memory device to external buses
    • G11C2207/108Wide data ports
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Description

  • Gebiet der Erfindung
  • Es wird eine Bus-Schnittstelle für integrierte Schaltkreis-Vorrichtungen für Computer- und Videosysteme beschrieben, die eine Hochgeschwindigkeitsübertragung von Blöcken von Daten, insbesondere zu und von Speichervorrichtungen, mit reduziertem Energieverbrauch und erhöhter System-Zuverlässigkeit gestattet. Ein neues Verfahren einer physikalischen Implementierung der Bus-Architektur wird ebenfalls beschrieben.
  • Hintergrund der Erfindung
  • Halbleiter-Computerspeicher wurden traditionell ausgebildet und aufgebaut, um eine Speichervorrichtung für jedes Bit oder kleine Gruppen von Bits jedes einzelnen Computer-Wortes zu verwenden, wobei die Wortgröße durch die Wahl des Computers bestimmt ist. Typische Wortgrößen reichen von 4 bis 64 Bits. Jede Speichervorrichtung ist normalerweise parallel an eine Reihe von Adressleitungen und an eine Reihe von Datenleitungen angeschlossen. Wenn der Computer aus einer bestimmten Speicherposition lesen oder darin schreiben möchte, wird eine Adresse auf die Adressleitungen gegeben und einige oder alle Speichervorrichtungen bzw. Speichergeräte werden unter Verwendung einer separaten Geräte-Auswahlleitung für jede benötigte Vorrichtung aktiviert. Eine oder mehrere Vorrichtungen können an jede Datenleitung angeschlossen sein, aber normalerweise ist nur eine geringe Anzahl von Datenleitungen an eine einzelne Speichervorrichtung angeschlossen. Daher ist die Datenleitung 0 an die Vorrichtung(en) 0 angeschlossen, Datenleitung 1 ist an die Vorrichtungen) 1 angeschlossen, und so weiter. Die Daten werden somit für jeden Speicher-Lese- oder -Schreib-Vorgang parallel angesprochen oder bereitgestellt. Damit das System einwandfrei arbeitet, muss jedes einzelne Speicherbit in jeder Speichervorrichtung zuverlässig und korrekt arbeiten.
  • Um das Konzept der vorliegenden Erfindung zu verstehen, ist es hilfreich, die Architektur konventioneller Speichervorrichtungen zu betrachten. In fast allen Arten von Speichervorrichtungen (einschließlich der am weitesten verbreitet genutzten dynamischen Wahlfrei-Zugriffs-Speicher (DRAM), Static RAM (SRAM) und Nur-Lese-Speicher (ROM)-Vorrichtungen) wird eine große Anzahl von Bits zu jeder Zeit parallel angesprochen, wenn das System einen Speicherzugriffs-Zyklus ausführt. Jedoch überschreitet nur ein geringer Prozentsatz der angesprochenen Bits, welche intern verfügbar sind, jedes Mal, wenn die Speichervorrichtung zyklisch angesprochen wird, die Vorrichtungs-Grenze zu der Außenwelt.
  • Gemäß 1 weisen alle modernen DRAM-, SRAM- und ROM-Ausgestaltungen interne Architekturen mit Zeilen-(Wort-)Leitungen 5 und Spalten-(Bit)-Leitungen 6 auf, um den Speicherzellen zu gestatten, einen zweidimensionalen Bereich 1 aufzuspannen. Ein Datenbit wird an dem Schnittpunkt jeder Wort- und Bit-Leitung gespeichert. Wenn eine bestimmte Wortleitung freigegeben wird, werden alle entsprechenden Datenbits auf die Bitleitungen Obertragen. Einige bekannte DRAMs nutzen den Vorteil dieses Aufbaues, um die Anzahl von Pins zu verringern, die zum Senden der Adresse benötigt werden. Die Adresse einer gegebenen Speicherzelle ist in zwei Adressen aufgeteilt, Zeile und Spalte, von denen jede über einen Bus nur halb so breit wie die Speicherzellen-Adresse, die der Stand der Technik erfordert, gemultiplext werden kann.
  • Vergleich mit dem Stand der Technik
  • Bekannte Speichersysteme haben mit beschränktem Erfolg versucht, das Problem des Hochgeschwindigkeitszugriffs auf Speicher zu lösen. Das U.S.-Patent Nr. 3,821,715 (Hoff et al.) wurde für die Intel Corporation für den frühesten 4-Bit-Mikroprozessor erteilt. Das Patent beschreibt einen Bus, der eine einzelne zentrale Verarbeitungseinheit (CPU) mit mehreren RAMs und ROMs verbindet. Der Bus multiplext Adressen und Daten über einen 4-Bit breiten Bus und verwendet Punkt-zu-Punkt zum Auswählen bestimmter RAMs oder ROMs. Die Zugriffszeit ist festgelegt und nur ein einzelnes Verarbeitungselement ist erlaubt. Es gibt keinen Blockmodus-Typ des Betriebs, und als wichtigstes werden nicht sämtliche Schnittstellensignale zwischen den Vorrichtungen über einen Bus geführt (die ROM- und RAM-Steuerungsleitungen und die RAM-Auswahlleitungen sind Punkt- zu-Punkt).
  • In dem U.S.-Patent Nr. 4,315,308 (Jackson) ist ein eine einzelne CPU mit einer Bus-Schnittstelleneinheit verbindender Bus beschrieben. Die Erfindung verwendet gemultiplexte Adress-, Daten- und Steuerungs-Information über einen einzelnen 16-Bit breiten Bus. Blockmodus-Vorgänge sind mit der Länge des als Teil der Steuerungssequenz gesendeten Blockes definiert. Zusätzlich sind Vorgänge mit veränderlicher Zugriffszeit unter Verwendung eines "dehnbaren" Zyklussignals vorgesehen. Es gibt nicht mehrere Verarbeitungselemente und keine Fähigkeit für mehrere ausstehende Anforderungen, und nochmals nicht alle Schnittstellensignale sind Bus-geführt.
  • In dem U.S.-Patent Nr. 4,449,207 (Kung et al.) ist ein DRAM beschrieben, welcher Adressen und Daten auf einem internen Bus multiplext. Die externe Schnittstelle zu diesem DRAM ist konventionell mit separaten Steuerungs-, Adress- und Daten-Verbindungen.
  • In den U.S.-Patenten Nr. 4,764,846 und 4,706,166 (Go) ist eine 3-D-Packungsanordnung gestapelter Die's mit Verbindungen entlang einer einzelnen Kante beschrieben. Solche Packungen sind wegen der erforderlichen Punkt-zu-Punkt-Verdrahtung zum Verbinden konventioneller Speichervorrichtungen mit Verarbeitungselementen schwer anzuwenden. Beide Patente beschreiben komplexe Schemata zum Lösen dieser Probleme. Es wird kein Versuch unternommen, das Problem durch Verändern der Schnittstelle zu lösen.
  • In dem U.S.-Patent Nr. 3,969,706 (Proebsting et al.) wird der nächstkommende Stand der Technik der DRAM-Schnittstelle beschrieben. Die Adresse ist wechselseitig gemultiplext und es sind separate Pins für Daten und Steuerung (RAS, CAS, WE, CS) vorgesehen. Die Anzahl von Pins nimmt mit der Größe des DRAM zu, und viele der Verbindungen müssen in einem solche DRAMs verwendenden Speichersystem Punkt-zu-Punkt ausgeführt werden.
  • In dem Stand der Technik sind viele Backplane-Busse beschrieben, aber nicht in der Kombination mit den Merkmalen der Erfindung. Viele Backplane-Busse multiplexen Adressen und Daten auf einem einzelnen Bus (z.B. dem NU-Bus). ELXSI und andere haben aufgeteilte Transaktions-Busse (U.S.-Patent Nr. 4,595,923 und 4,481,625 (Roberts)) implementiert. ELXSI hat ebenso einen Strom-Modus-ECL-Treiber mit relativ niedrigem Spannungs-Hub implementiert (etwa 1 V Hub). Adressraum-Register sind in den meisten Backplane-Bussen als eine Form des Blockmodus-Betriebs implementiert.
  • Fast alle modernen Backplane-Busse implementieren eine Art von Arbitrierungs-Schema, aber das in dieser Offenbarung verwendete Arbitrierungs-Schema unterscheidet sich von jedem von diesen. Die U.S.-Patent-Nummern 4,837,682 (Culler), 4,818,985 (Ikeda), 4,779,089 (Theus) und 4,745,548 (Blahut) beschreiben bekannte Schemata. Alle beziehen entweder log N Zusatzsignale (Theus, Blahut) mit ein, wobei N die Anzahl möglicher Bus-Anforderer ist, oder eine zusätzliche Verzögerung zum Erhalten der Steuerung des Busses (Ikeda, Culler). Keiner der in Patenten oder anderer Literatur beschriebenen Busse verwendet nur Busgeführte Verbindungen. Alle enthalten einige Punkt-zu-Punkt-Verbindungen in der Backplane. Keiner der anderen Aspekte dieser Offenbarung wie Leistungsverringerung durch Holen jedes Datenblockes von einer einzelnen Vorrichtung oder kompakte und kostengünstige 3-D-Packung werden auch auf Backplane-Busse angewendet.
  • Das in dieser Offenbarung verwendete Taktschema ist zuvor nicht verwendet worden und wäre infolge der durch die Verbinder-Stifte bewirkte Signalbeeinträchtigung in der Tat schwer zu implementieren. Das U.S.-Patent Nr. 4,247,817 (Heller) beschreibt ein zwei Taktleitungen verwendendes Taktschema, stützt sich aber auf sägezahnförmige Taktsignale gegenüber den in der vorliegenden Offenbarung verwendeten Signalen mit normaler Anstiegszeit.
  • In dem U.S. Patent Nr. 4,646,279 (Voss) ist ein Video-RAM beschrieben, welcher ein Parallel-Lade-, Seriell-Ausgabe-Schieberegister am Ausgang eines DRAM implementiert. Dies erlaubt allgemein eine deutlich verbesserte Bandbreite (und wurde auf 2, 4 und größere Breite von Ausgabepfaden erweitert). Der übrige Teil der Schnittstelle zu dem DRAM (RAS, CAS, gemultiplexte Adresse etc.) bleibt der gleiche wie bei konventionellen DRAMs.
  • Eine Aufgabe der vorliegenden Erfindung besteht darin, eine neue Bus- Schnittstelle in Halbleitervorrichtungen zu verwenden, um einen Hochgeschwindigkeitszugriff auf große Blöcke von Daten von einer einzigen Speichervorrichtung durch einen externen Benutzer der Daten, wie einen Mikroprozessor, in einer effizienten und Kosteneffektiven Weise zu unterstützen.
  • Eine weitere Aufgabe dieser Erfindung besteht darin, Vorrichtungen zur Verfügung zu stellen, insbesondere DRAMs, die zur Benutzung mit der Bus-Architektur der Erfindung geeignet ist.
  • D.K. Morgan, "The CVAX CMCTL – A CMOS Memory Controller Chip", Digital Technical Journal, Nr. 7, August 1988, Seiten 139 bis 143 bezieht sich auf eine Beschreibung eines Speicher-Controllerchips, welcher in Verbindung mit einem "CVAX-Bus" zu verwenden ist. Das Dokument beschreibt dass der CVAX-Speichercontroller über einen synchronen Bus mit einer CPU kommuniziert und über einen asynchronen Bus mit Speichermodulen, die mit dem Controller verbunden sind. Die Speichermodule, die mit dem Controller verbunden sind, enthalten Hunderte von Speichervorrichtungen. Die DRAMS auf den Speichermodulen werden nicht näher beschrieben.
  • Die "Intel Application Note AP-132", "Designing Memory Systems with the 8K × 8 IRAM", Juni 1982, Seiten 3-41 bis 3-45; Intel Preliminary Data Sheet "2186–8192 × 8 Bit Integrated RAM", September 1982, Seiten 3-281; und eine undatierte Seite ohne Seitenzahl, die sich auf die "2186 Familie" bezieht, offenbaren einen neuen Typ von RAM, der dafür bestimmt ist, die besten Eigenschaften von SRAM und DRAM zu verbinden. Diese Vorrichtung ist zielgerichtet zur Benutzung in Anwendungen mit kleinen Speichererfordernissen bestimmt. Die offenbarten IRAM-Vorrichtungen sind asynchrone Vorrichtungen (welche kein Taktsignal empfangen) die die Steuerschaltungen enthalten, die zur Steuerung der Refresh-Operationen des Speichers erforderlich sind. Systeme, die zu der Zeit der IRAM-Dokumente bekannt waren, enthielten solche Refresh-Steuerschaltungen normalerweise in einem externen Speichercontroller
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung bezieht sich auf eine synchrone DRAM-Halbleiterspeichervorrichtung wie in Anspruch 1 definiert.
  • In 2 werden ein Standard-DRAM 13, 14, ROM (oder SRAM) 12, Mikroprozessor CPU 11, E/A-Vorrichtung, Disk-Controller oder andere Spezialvorrichtungen wie ein Hochgeschwindigkeitsschalter modifiziert, um eine vollständig Bus-basierte Schnittstelle statt der bekannten Kombination von Punkt-zu-Punkt- und Bus-basierten Verdrahtungen zu verwenden, welche bei konventionellen Versionen dieser Vorrichtungen verwendet werden. Der neue Bus beinhaltet Taktsignale, Stromversorgung und gemultiplexte Adress-, Daten- und Steuerungs-Signale. In einer bevorzugten Implementierung transportieren 8 Bus-Datenleitungen und eine AddressValid-Busleitung Adress-, Daten- und Steuerinformationen für Speicheradressen bis zu 40 Bits Breite. Ein Durchschnittsfachmann erkennt, dass 16 Bus-Datenleitungen oder andere Anzahlen von Bus-Datenleitungen verwendet werden können, um die Lehre dieser Erfindung zu implementieren. Der neue Bus wird verwendet, um Elemente wie Speicher-, Peripherie-, Schalt- und Verarbeitungs-Einheiten anzuschließen.
  • In dieser Erfindung empfangen DRAMs und andere Vorrichtungen Adress- und Steuerinformationen über den Bus und senden oder empfangen angeforderte Daten über den gleichen Bus. Jede Speichervorrichtung enthält nur eine einzelne Bus-Schnittstelle ohne zusätzliche Signal-Pins. Andere Vorrichtungen, die in dem System enthalten sein können, können an den Bus oder andere Nicht-Bus-Leitungen wie Eingabe/Ausgabe-Leitungen angeschlossen sein. Der Bus unterstützt große Datenblock-Übertragungen und aufgeteilte Transaktionen, um einem Benutzer zu erlauben, eine hohe Busnutzung zu verwirklichen. Diese Fähigkeit, schnell einen großen Datenblock für eine einzelne Vorrichtung zu einem Zeitpunkt zu lesen oder schreiben, ist ein wesentlicher Vorteil dieser Erfindung.
  • Die mit diesem Bus verbundenen DRAMs unterscheiden sich von konventionellen DRAMs auf mehrere Weisen. Register sind vorgesehen, welche Steuerungs-Informationen, Geräte-Identifikation, Geräte-Typ und andere für den Chip geeignete Informationen wie den Adressbereich für jeden unabhängigen Teil des Gerätes speichern können. Neue Bus-Schnittstellenschaltungen müssen hinzugefügt werden, und das Innere der bekannten DRAM-Geräte muss modifiziert werden, so dass sie Daten für den und von dem Bus mit der Spitzen-Datengeschwindigkeit des Busses bereitstellen und entgegennehmen können. Dies erfordert Änderungen in der Spalten-Zugriffsschaltung in dem DRAM, mit lediglich einer minimalen Erhöhung der Die-Größe. Eine Schaltung ist vorgesehen, um einen gering verzerrten internen Gerätetakt für Geräte auf dem Bus zu erzeugen, und andere Schaltungen stellen Demultiplex-Eingangs- und Multiplex-Ausgangs-Signale bereit.
  • Eine hohe Bus-Bandbreite wird verwirklicht durch Betreiben des Busses mit einer sehr hohen Taktfrequenz (mehrere hundert MHz). Diese hohe Taktfrequenz wird durch die erzwungene Umgebung des Busses ermöglicht. Die Busleitungen sind impedanzgesteuerte, doppelt abgeschlossene Leitungen. Bei einer Datenrate von 500 MHz ist die maximale Bus-Ausbreitungszeit geringer als 1 ns (die physikalische Buslänge beträgt etwa 10 cm). Aufgrund der verwendeten Packung kann zusätzlich der Abstand der Pins sehr nahe an dem Abstand der Anschlussflächen sein. Die aus den einzelnen Geräten resultierende Last auf dem Bus ist sehr klein. In einer bevorzugten Implementierung erlaubt dies allgemein Stift-Kapazitäten von 1–2 pF und Induktivitäten von 0,5–2 nH. Jedes in 3 gezeigte Gerät 15, 16, 17 hat nur an einer Seite Pins und diese Pins sind direkt mit dem Bus 18 verbunden. Ein Transceiver 19 kann enthalten sein, um mehrere Einheiten an einen Bus höherer Ordnung durch Pins 20 anzupassen.
  • Ein primäres Ergebnis der Architektur gemäß dieser Erfindung ist es, die Bandbreite des DRAM-Zugriffs zu erhöhen. Die Erfindung reduziert außerdem Herstellungs- und Produktionskosten, den Stromverbrauch und sie erhöht die Packungsdichte und Systemzuverlässigkeit.
  • Kurzbeschreibung der Zeichnungen
  • 1 ist eine Darstellung, welche eine Grund-2-D-Anordnung von Speichervorrichtungen darstellt;
  • 2 ist ein vereinfachtes Blockschaltbild, welches die Parallelverbindung sämtlicher Busleitungen und der seriellen Rücksetzleitung mit jedem Gerät in dem System darstellt;
  • 3 ist eine perspektivische Ansicht eines Systems, welches die 3-D-Packung von Halbleitervorrichtungen auf dem primären Bus darstellt;
  • 4 zeigt das Format eines Anforderungspaketes;
  • 5 zeigt das Format einer erneut versuchten Antwort von einem Slave;
  • 6 zeigt die Buszyklen, nachdem eine Anforderungspaket-Kollision auf dem Bus aufgetreten ist, und wie die Konfliktlösung gehandhabt wird;
  • 7 zeigt das Timing, wodurch Signale von zwei Geräten vorübergehend überlappen und den Bus gleichzeitig ansteuern können;
  • 8 zeigt die Verbindung und das Timing zwischen Bustakten und Geräten auf dem Bus;
  • 9 ist eine perspektivische Ansicht, die zeigt, wie Transceiver verwendet werden können, um eine Anzahl von Buseinheiten an einen Transceiver-Bus anzuschließen;
  • 10 ist ein vereinfachtes Blockschaltbild einer Eingabe/Ausgabe-Schaltung, welche zum Verbinden von Geräten mit dem Bus verwendet wird;
  • 11 ist ein vereinfachtes Schaltbild eines als Buseingangs-Empfänger verwendeten, getakteten Leseverstärkers;
  • 12 ist ein Blockschaltbild, das zeigt, wie der interne Gerätetakt aus zwei Bustaktsignalen unter Verwendung eines Satzes anpassbarer Verzögerungsleitungen erzeugt wird;
  • 13 ist ein Zeitdiagramm, das die Beziehung von Signalen in dem Blockschaltbild in 12 zeigt;
  • 14 ist ein Zeitdiagramm einer bevorzugten Einrichtung zum Implementieren des Rücksetzvorgangs;
  • 15 ist eine Darstellung der allgemeinen Organisation eines in 8 Teilbereiche aufgeteilten 4 Mbit-DRAM.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung ist ausgebildet, eine synchrone DRAM Halbleitervorrichtung zur Verwendung mit einem gemultiplexten Hochgeschwindigkeits-Bus zur Kommunikation zwischen Verarbeitungsvorrichtungen und Speichervorrichtungen bereitzustellen. Der Bus kann ebenfalls verwendet werden, um Verarbeitungsgeräte und andere Geräte wie E/A-Schnittstellen oder Disk-Controller mit oder ohne Speichervorrichtungen auf dem Bus zu verbinden. Der Bus besteht aus einer relativ kleinen Anzahl von Leitungen, welche parallel an jede Vorrichtung auf dem Bus angeschlossen sind. Der Bus transportiert im Wesentlichen sämtliche Adress-, Daten- und Steuerinformationen, welche von den Vorrichtungen zur Kommunikation mit anderen Vorrichtungen auf dem Bus benötigt werden. In vielen die vorliegende Erfindung verwendenden Systemen transportiert der Bus fast jedes Signal zwischen jeder Vorrichtung in dem gesamten System. Es besteht kein Bedarf nach separaten Vorrichtungs-Auswahlleitungen, da die Vorrichtungs-Auswahlinformation für jede Vorrichtung auf dem Bus über den Bus transportiert wird. Es besteht kein Bedarf für separate Adress- und Datenleitungen, da Adress- und Dateninformationen über die gleichen Leitungen gesendet werden können. Bei der Verwendung der hier beschriebenen Organisation können sehr große Adressen (40 Bits in der bevorzugten Implementierung) und große Datenblöcke (1024 Bytes) über eine geringe Anzahl von Busleitungen (8 plus eine Steuerungsleitung in der bevorzugten Implementierung) gesendet werden.
  • Im Wesentlichen können sämtliche der von einem Computersystem benötigten Signale über den Bus gesendet werden. Ein Durchschnittsfachmann erkennt, dass bestimmte Geräte wie CPUs an andere Signalleitungen und möglicherweise an unabhängige Busse, z.B. einen Bus zu einem unabhängigen Cache-Speicher, zusätzlich zu dem Bus dieses Ausführungsbeispiels angeschlossen sein können. Bestimmte Geräte, z.B. Cross-Point-Schalter, können an mehrere unabhängige Busse dieses Ausführungsbeispiels angeschlossen werden. In der bevorzugten Implementierung sind Speichergeräte vorgesehen, die keine anderen Verbindungen als die hierin beschriebenen Busverbindungen aufweisen, und CPUs sind vorgesehen, die den Bus dieses Ausführungsbeispiels als die grundlegende, wenn nicht exklusive Verbindung zum Speicher und zu anderen Geräten auf dem Bus verwenden.
  • Alle modernen DRAM-, SRAM- und ROM-Ausbildungen haben interne Architekturen mit Zeilen- (Wort-) und Spalten- (Bit-)Leitungen, um effizient einen 2-D-Bereich abzudecken. In 1 wird ein Datenbit an dem Schnittpunkt zwischen jeder Wortleitung 5 und jeder Bitleitung 6 gespeichert. Wenn eine bestimmte Wortleitung freigegeben wird, werden sämtliche, entsprechende Datenbits auf die Bitleitungen übertragen. Diese Daten, etwa 4000 Bits zugleich bei einem 4 MBit DRAM, werden dann in Spalten-Leseverstärker 3 geladen und durch die E/A-Schaltungen zur Verwendung bereitgehalten.
  • In dem hier dargestellten Ausführungsbeispiel werden die Daten von den Leseverstärkern mit 32 Bits zugleich auf einem internen Gerätebus freigegeben, der mit etwa 125 MHz läuft. Dieser interne Gerätebus bewegt die Daten zu der Peripherie der Geräte, wo die Daten in einer 8 Bit breiten externen Bus-Schnittstelle gemultiplext werden, die mit etwa 500 MHz arbeitet.
  • Die Bus-Architektur gemäß diesem Ausführungsbeispiel verbindet Master- oder Bus-Controller-Vorrichtungen, die CPUs, Direkt-Speicher-Zugriffs-Geräte (DMAs) oder Fließkomma-Einheiten (FPUs), und Slave-Geräte wie DRAM-, SRAM- oder ROM-Speichergeräte. Ein Slave-Gerät reagiert auf Steuerungssignale; ein Master sendet Steuerungssignale. Der Durchschnittsfachmann erkennt, dass sich einige Geräte zu verschiedenen Zeiten als Master und Slave verhalten können, abhängig von dem Betriebsmodus und dem Status des Systems. Zum Beispiel hat ein Speichergerät typisch nur Slave-Funktionen, während ein DMA-Controller, Disk-Controller oder eine CPU Slave- und Master-Funktionen beinhalten kann. Viele andere Halbleitergeräte einschließlich E/A-Geräte, Disk-Controller oder anderen Spezialgeräte wie Hochgeschwindigkeitsschalter können zur Verwendung mit dem Bus gemäß dem vorliegenden Ausführungsbeispiel modifiziert werden.
  • Jedes Halbleitergerät enthält einen Satz interner Register, der vorzugsweise ein Geräte-Identifizierungs- (Geräte-ID-)Register, ein Gerätetyp-Deskriptor-Register, ein Steuerungs-Register und andere Register mit anderen für den Gerätetyp relevanten Informationen aufweist. In einer bevorzugten Ausführungsform enthalten die an den Bus angeschlossenen Halbleitergeräte Register, welche die innerhalb des Gerätes enthaltene Speicheradresse bestimmen, und Zugriffszeit-Register, welche einen Satz von einer oder mehreren Verzögerungszeiten speichern, zu welcher das Gerät verfügbar sein kann oder soll, um Daten zu senden oder zu empfangen.
  • Die meisten dieser Register können modifiziert und bevorzugt als Teil einer Initialisierungssequenz gesetzt werden, die auftritt, wenn das System eingeschaltet oder zurückgesetzt wird. Während der Initialisierungssequenz wird jedem Gerät auf dem Bus eine einzelne Geräte-ID-Nummer zugeordnet, welche in dem Geräte-ID-Register gespeichert wird. Ein Bus-Master kann dann diese Geräte-ID-Nummern verwenden, um geeignete Register in anderen Geräten, einschließlich Zugriffszeit-Registern, Steuerungsregistern und Speicherregistern, anzusprechen und zu setzen, um das System zu konfigurieren. Jeder Slave kann eines oder mehrere Zugriffszeit-Register (vier in einer bevorzugten Ausführungsform) aufweisen. In einer bevorzugten Ausführungsform wird ein Zugriffszeit-Register in jedem Slave permanent oder semi-permanent mit einem festen Wert programmiert, um bestimmte Steuerungsfunktionen zu unterstützen. Eine bevorzugte Implementierung einer Initialisierungssequenz wird unten detaillierter beschrieben.
  • Sämtliche zwischen Master-Geräten und Slave-Geräten gesendeten Informationen werden über den externen Bus gesendet, welcher zum Beispiel 8 Bits breit sein kann. Dies wird verwirklicht durch Definieren eines Protokolls, wobei ein Master-Gerät, wie ein Mikroprozessor, eine ausschließliche Steuerung des externen Busses übernimmt (d.h., der Bus-Master wird) und eine Bus-Transaktion durch Senden eines Anforderungspaketes (eine Folge von Bytes mit Adress- und Steuerungs-Informationen) zu einem oder mehreren Slave-Geräten auf dem Bus auslöst. Eine Adresse kann gemäß den Lehren dieses Ausführungsbeispiels aus 16 bis 40 oder mehr Bits bestehen. Jeder Slave auf dem Bus muss das Anforderungspaket dekodieren, um zu erkennen, ob der Slave auf das Paket antworten soll. Der Slave, an den das Paket gerichtet ist, muss dann eine interne Verarbeitung beginnen, die benötigt wird, um die geforderte Bus-Transaktion in der geforderten Zeit auszuführen. Der anfordernde Master kann ebenfalls bestimmte interne Verarbeitungen übermitteln müssen, bevor die Bus-Transaktion beginnt. Nach einer festgelegten Zugriffszeit antworten die Slaves durch Zurücksenden von einem oder mehreren Bytes (8 Bits) von Daten oder durch Speichern der von dem Bus verfügbar gemachten Informationen. Mehr als eine Zugriffszeit kann bereitgestellt werden, um unterschiedliche Arten von Antworten zu erlauben, die zu unterschiedlichen Zeiten auftreten.
  • Ein Anforderungspaket und der entsprechende Bus-Zugriff werden durch eine ausgewählte Anzahl von Bus-Zyklen separiert und erlauben dem Bus, in den dazwischen liegenden Bus-Zyklen durch die gleichen oder andere Master für zusätzliche Anforderungen oder kurze Bus-Zugriffe verwendet zu werden. Somit sind mehrere unabhängige Zugriffe erlaubt und erlauben eine maximale Nutzung des Busses zur Übertragung von Daten kurzer Blöcke. Übertragungen von Daten langer Blöcke nutzen den Bus effizient, auch ohne Überlappen, da der Überhang infolge von Bus-Adress-Steuerungs- und Zugriffs-Zeiten, verglichen mit der Gesamtzeit zum Anfordern und Übertragen des Blockes, gering ist.
  • Geräte-Adress-Zuordnung
  • Ein weiterer Einzelaspekt dieser Erfindung ist es, dass jedes Speichergerät ein vollständiges, unabhängiges Speicher-Subsystem mit der gesamten Funktionalität einer bekannten Speicherplatine in einem konventionellen Backplane-Bus-Computersystem ist. Einzelne Speichergeräte können einen einzelnen Speicherabschnitt enthalten oder können weiter in mehr als einen diskreten Speicherabschnitt unterteilt sein. Speichergeräte beinhalten bevorzugt Speicheradress-Register für jeden diskreten Speicherabschnitt. Ein fehlerhaftes Speichergerät (oder auch ein Teilabschnitt eines Gerätes), kann "ausgeblendet" werden, mit lediglich dem Verlust eines kleinen Bruchteils des Speichers, um im wesentlichen die vollen Systemmöglichkeiten beizubehalten. Ein Ausblenden fehlerhafter Geräte kann auf zwei Weisen verwirklicht werden, die beide mit dieser Erfindung kom patibel sind.
  • Das bevorzugte Verfahren verwendet Adressregister in jedem Speichergerät (oder jedem unabhängigen, diskreten Abschnitt davon) zum Speichern von Informationen, welche den Bereich der Busadressen bestimmen, auf welche dieses Speichergerät reagiert. Dies ist vergleichbar mit bekannten Schemata, welche bei Speicherplatinen in konventionellen Backplane-Bus-Systemen verwendet werden. Die Adressregister können einen einzelnen Zeiger beinhalten, der gewöhnlich auf einen Block bekannter Größe zeigt, einen Zeiger und einen festen oder variablen Blockgrößenwert oder zwei Zeiger, von denen einer auf den Anfang und einer auf das Ende (oder die "Spitze" und den "Boden") jedes Speicherblockes zeigt. Durch geeignete Einstellungen der Adressregister kann eine Reihe funktionaler Speichergeräte oder diskreter Speicherabschnitte veranlasst werden, auf einen fortlaufenden Bereich von Adressen zu reagieren, welche den Systemzugriff auf einen fortlaufenden, guten Speicherblock geben, beschränkt vielmehr durch die Anzahl mit dem Bus verbundener, guter Geräte. Ein Speicherblock in einem ersten Speichergerät oder Speicherabschnitt kann einem bestimmten Bereich von Adressen zugeordnet werden, dann kann ein Speicherblock in einem nächsten Speichergerät oder Speicherabschnitt Adressen zugeordnet werden, welche mit einer um eins höheren (oder abhängig von dem Speicheraufbau, niedrigeren) Adresse als die letzte Adresse des vorherigen Blockes beginnt.
  • Vorzugsweise umfassen Geräte zur Verwendung in dieser Ausführungsform eine gerätetypische Registerinformationen, welche die Art des Chips und wieviel Speicher in welcher Konfiguration in diesem Gerät verfügbar ist, festlegen. Ein Master kann einen geeigneten Speichertest wie Lesen und Schreiben jeder Speicherzelle in einer oder mehreren ausgewählten Reihenfolgen ausführen, um eine einwandfreie Funktion jedes ansprechbaren, diskreten Teiles des Speichers (teilweise basierend auf Informationen wie der Geräte-ID-Nummer und dem Gerätetyp) und Schreibadresswerte (bis zu 40 Bits in der bevorzugten Ausführungsform, 1012 Bytes) zu prüfen, bevorzugt fortlaufend in Geräte-Adressraum-Registern. Nicht funktionierende oder schadhafte Speicherabschnitte können einem besonderen Adresswert zugeordnet werden, welchen das System interpretieren kann, um die Verwendung dieses Speichers zu vermeiden.
  • Der zweite Ansatz belastet den System-Master oder die Master mit der Belastung der Vermeidung der schlechten Geräte. CPUs und DMA-Controller haben normalerweise eine Art von Translations-Seitenblick-Puffern (Translation look-aside buffer TLBs), welche der physikalischen (Bus-)Adresse virtuell zugeordnet sind. Mit einer relativ einfachen Software können die TLBs programmiert werden, um nur arbeitenden Speicher zu verwenden (Datenstrukturen, welche funktionierenden Speicher beschreiben, werden leicht erzeugt). Für Master, welche keine TLBs enthalten (z.B. einen Videoanzeigegenerator) kann ein kleiner, einfacher RAM verwendet werden, um einen zusammenhängenden Bereich von Adressen auf den Adressen der funktionierenden Speichergeräte abzubilden.
  • Ein anderes Schema arbeitet so und erlaubt einem System, einen signifikanten Prozentsatz nicht funktionierender Geräte aufzuweisen und immer noch weiter mit dem verbleibenden Speicher zu arbeiten. Dies bedeutet, dass gemäß diesem Ausführungsbeispiel aufgebaute Systeme eine deutlich verbesserte Zuverlässigkeit gegenüber vorhandenen Systemen aufweisen, einschließlich der Fähigkeit, Systeme mit fast keinen Teilbild-Fehlern aufzubauen.
  • Bus
  • Die bevorzugte Bus-Architektur gemäß diesem Ausführungsbeispiel umfasst 11 Signale: BusData [0:7]; AddrValid; Clk1 und Clk2; plus einem Eingangsreferenzpegel und an jedes Gerät parallel angeschlossene Spannungs- und Masseleitungen. Signale werden auf dem Bus während konventioneller Bus-Zyklen angesteuert. Die Notation "Signal[i:j]" bezieht sich auf einen bestimmten Bereich von Signalen oder Leitungen, zum Beispiel bedeutet BusData[0:7] BusData0 BusData1, ..., BusData7. Die Bus-Leitungen für die BusData[0:7]-Signale bilden einen Bytebreiten, gemultiplexten Daten/Adress/Steuerungs-Bus. AddrValid wird verwendet, um anzugeben, wann der Bus eine gültige Adressanforderung hält, und weist einen Slave an, die Bus-Daten als eine Adresse zu dekodieren, und, wenn die Adresse in dem Slave enthalten ist, die anhängige Anforderung zu handhaben. Die zwei Takte stellen zusammen einen synchronisierten Hochgeschwindigkeitstakt für alle Geräte auf dem Bus bereit. Zusätzlich zu den Bus-geführten Signalen ist eine weitere Leitung (ResetIn, ResetOut) vorhanden, welche jedes Gerät in Reihe zur Verwendung während der Initialisierung verbindet, um jedem Gerät in dem System eine einzelne Geräte-ID-Nummer (unten detailliert beschrieben) zuzuordnen.
  • Um die außerordentlich hohe Datenrate dieses externen Busses, bezogen auf die Gate-Verzögerungen der internen Logik, zu unterstützen, werden die Bus-Zyklen in Paaren geradzahliger/ungeradzahliger Zyklen gruppiert. Es ist anzumerken, dass alle mit einem Bus verbundenen Geräte bevorzugt die gleiche geradzahlige/ungeradzahlige Bezeichnung von Bus-Zyklen verwenden sollen und bevorzugt mit Betrieb in geradzahligen Zyklen beginnen sollen. Dies wird durch das Takt-Schema erzwungen.
  • Protokoll und Bus-Betrieb
  • Die Busse verwenden für Bus-Transaktionen ein relativ einfaches, synchrones Block-orientiertes Protokoll für aufgeteilte Transaktionen. Eines der Ziele des Systems ist es, die Intelligenz in den Mastern zusammengefasst zu halten, um somit die Slaves so einfach wie möglich zu halten (da typisch viel mehr Slaves als Master vorhanden sind). Um die Komplexität der Slaves zu verringern, soll ein Slave bevorzugt auf eine Anforderung in einer festgelegten Zeit antworten, die ausreichend ist, um dem Slave zu gestatten, eine Geräte-interne Phase einschließlich einer internen Aktion zu beginnen oder möglicherweise zu beenden, welche der nachfolgenden Bus-Zugriffsphase vorausgehen muss. Die Zeit für diese Bus-Zugriffsphase ist allen Geräten auf dem Bus bekannt – jeder Master ist dafür verantwortlich, sicherzustellen, dass der Bus frei ist, wenn der Bus-Zugriff beginnt. Somit müssen die Slaves niemals befürchten, um den Bus zu konkurieren. Dieser Ansatz beseitigt die Konkurrenz in einem Einzel-Master-System und macht ebenfalls die Slave-Bus-Schnittstelle einfacher.
  • In einer bevorzugten Implementierung sendet ein Master zum Initialisieren einer Bus-Übertragung über den Bus ein Anforderungspaket, eine aufeinanderfolgende Reihe von Bytes mit Adressen und Steuerinformationen. Es wird bevorzugt, ein Anforderungspaket mit einer geradzahligen Anzahl von Bytes zu verwenden und ebenfalls bevorzugt, jedes Paket in einem geradzahligen Bus-Zyklus zu beginnen.
  • Eine Geräteauswahl-Funktion wird unter Verwendung der Bus-Datenleitungen gehandhabt. AddrValid wird angesteuert, welches alle Slaves anweist, die Anforderungspaketadresse zu dekodieren, zu bestimmen, ob sie die angeforderte Adresse enthalten, und wenn dies der Fall ist, in einer Datenblockübertragung die Daten für den Master (in dem Fall einer Leseanforderung) bereitzustellen oder Daten von dem Master entgegenzunehmen (in dem Fall einer Schreibanforderung). Ein Master kann ebenfalls ein bestimmtes Gerät durch Senden einer Geräte-ID-Nummer in einem Anforderungspaket auswählen. In einer bevorzugten Implementierung wird eine bestimmte Geräte-ID-Nummer gewählt, um anzuzeigen, dass das Paket von allen Geräten auf dem Bus interpretiert werden soll. Dieses erlaubt einem Master, eine Mitteilung zu übermitteln, z.B. zum Setzen eines ausgewählten Steuerungsregisters sämtlicher Geräte mit dem gleichen Wert.
  • Die Datenblockübertragung tritt zu einer in der Anforderungspaket-Steuerinformation festgelegten späteren Zeit auf, bevorzugt beginnend in einem geradzahligen Zyklus. Ein Gerät beginnt eine Datenblockübertragung fast sofort mit einer Geräte-internen Phase, wenn das Gerät bestimmte Funktionen initialisiert, wie Einstellen der Speicheradressierung, bevor die Bus-Zugriffsphase beginnt. Die Zeit, nach welcher ein Datenblock an die Bus-Leitungen angelegt wird, wird aus den in den Slave-Zugriffszeit-Registern gespeicherten Werten ausgewählt. Das Timing von Daten zum Lesen und Schreiben ist bevorzugt das gleiche; der einzige Unterschied ist, welches Gerät den Bus ansteuert. Zum Lesen steuert der Slave den Bus an, und der Master speichert die Werte von dem Bus zwischen. Zum Schreiben steuert der Master den Bus an, und der ausgewählte Slave speichert die Werte von dem Bus zwischen.
  • In einer in 4 gezeigten, bevorzugten Implementierung enthält ein Anforderungspaket 22 6 Datenbytes -- 4,5 Adressbytes und 1,5 Steuerungsbytes. Jedes Anforderungspaket verwendet alle neun Bits der gemultiplexten Daten/Adress-Leitungen (AddrValid 23 + BusData[0:7] 24) für alle sechs Bytes des Anforderungspaketes. Eine Einstellung 23 AddrValid = 1 in einem sonst nicht verwendeten, geradzahligen Zyklus zeigt den Beginn eines Anforderungspaketes (Steuerinformation) an. In einem gültigen Anforderungspaket muss AddrValid 27 in dem letzten Byte 0 sein. Ein Festlegen dieses Signals in dem letzten Byte macht das Anforderungspaket ungültig. Dieses wird für die Kollisionserfassung und Arbitrierungsslogik (unten beschrieben) verwendet. Die Bytes 25–26 enthalten die ersten 35 Adress-Bits, Adresse [0:35]. Das letzte Byte enthält AddrValid 27 (der Ungültigkeits-Schalter) und 28, die verbleibenden Adress-Bits, Adresse[36:39] und Blocksize[0:3] (Steuerinformation).
  • Das erste Byte enthält zwei 4-Bit-Felder mit Steuerinformationen, Access-Type[0:3], einen Op-Code (Operations-Code), welcher zum Beispiel die Art des Zugriffs festlegt, und Master[0:3], eine für den das Paket sendenden Master reservierte Position zum Enthalten seiner Master-ID-Nummer. Nur die Master-Nummern 1 bis 15 sind zulässig – Master-Nummer 0 ist für besondere Systembefehle reserviert. Jedes Paket mit Master[0:3] = 0 ist ein ungültiges oder besonderes Paket und wird entsprechend behandelt.
  • Das AccessType-Feld gibt an, ob der angeforderte Vorgang Lesen oder Schreiben ist, und die Art des Zugriffs, zum Beispiel, ob er auf die Steuerungsregister oder Teile des Gerätes, wie Speicher, erfolgt. In einer vorbestimmten Implementierung ist AccessType[0] ein Lese/Schreib-Schalter: wenn er 1 ist, ruft der Vorgang ein Lesen von dem Slave auf (den Slave zum Lesen des angeforderten Speicherblockes und Anlegen des Speicherinhalts an den Bus); wenn er eine 0 ist, ruft der Ablauf ein Schreiben in den Slave auf (den Slave zum Lesen von Daten von dem Bus und Schreiben in den Speicher). AccessType[1:3] stellt bis zu 8 unterschiedliche Zugriffstypen für einen Slave bereit. AccessType[1:2] bezeichnet bevorzugt das Timing der Antwort, welche in einem Zugriffszeit-Register, Access-RegN, gespeichert wird. Die Auswahl des Zugriffszeit-Registers kann durch einen bestimmten Op-Code direkt gewählt werden, der das Register auswählt, oder indirekt durch eine Slave-Antwort auf ausgewählte Op-Codes mit vorausgewählten Zugriffszeiten (siehe Tabelle unten). Das verbleibende Bit AccessType[3] kann verwendet werden, um zusätzliche Informationen über die Anforderung zu den Slaves zu senden.
  • Eine besondere Art von Zugriff ist der Steuerungsregister-Zugriff, welcher eine Adressierung eines ausgewählten Registers in einem ausgewählten Slave beinhaltet. In der bevorzugten Implementierung gibt AccessType [1:3] gleich Null eine Steuerungsregister-Anforderung an, und das Adressfeld des Paketes bezeichnet das gewünschte Steuerungsregister. Die zwei signifikantesten Bytes können zum Beispiel die Geräte-ID-Nummer sein (die angeben, welcher Slave angesprochen wird), und die drei am wenigsten signifikanten Bytes können eine Registeradresse spezifizieren und können ebenfalls in das Steuerungsregister zu ladende Daten darstellen oder beinhalten. Steuerungsregister-Zugriffe werden verwendet, um die Zugriffszeit-Register zu initialisieren, und somit wird es bevorzugt, eine feste Antwortzeit zu verwenden, welche vorprogrammierbar oder auch fest verdrahtbar ist, zum Beispiel der Wert in AccessRegO, bevorzugt 8 Zyklen. Ein Steuerungsregister-Zugriff kann ebenfalls verwendet werden, um andere Register einschließlich Adress-Registern zu initialisieren oder modifizieren.
  • Das Verfahren gemäß dieser Ausführungsform stellt eine Zugriffsmodussteuerung insbesondere für die DRAMs bereit. Ein solcher Zugriffsmodus bestimmt, ob der Zugriff ein Seitenmodus oder ein normaler RAS-Zugriff ist. Im normalen Modus (bei konventionellen DRAMs und gemäß dieser Erfindung) wurden die DRAM-Spalten-Leseverstärker oder Zwischenspeicher auf einen Wert zwischen logisch 0 und 1 voraufgeladen. Dieses Voraufladen erlaubt einen Zugriff auf eine Zeile in dem RAM, zu beginnen, sobald die Zugriffsanforderung entweder für Eingaben (Schreiben) oder Ausgaben (Lesen) empfangen ist und erlaubt den Spalten-Leseverstärkern, die Daten schnell zu erfassen. In dem Seitenmodus (sowohl konventionell als auch gemäß dieser Erfindung) hält der DRAM die Daten in den Spalten-Leseverstärkern oder Zwischenspeichern von dem vorherigen Lese- oder Schreib-Vorgang. Wenn eine nachfolgende Anforderung auf Zugriffsdaten an die gleiche Zeile gerichtet ist, muss das DRAM nicht auf die zu erfassenden Daten warten (sie wurden bereits erfasst), und die Zugriffszeit für diese Daten ist deutlich kürzer als die normale Zugriffszeit. Der Seitenmodus gestattet allgemein einen erheblich schnelleren Zugriff auf Daten, aber auf einen kleineren Datenblock (gleich der Anzahl der Leseverstärker). Wenn die angeforderten Daten jedoch nicht in der ausgewählten Zeile sind, ist die Zugriffszeit länger als die normale Zugriffszeit, da die Anforderung warten muss, bis der RAM voraufgeladen ist, bevor der Normalmodus-Zugriff beginnen kann. Zwei Zugriffszeit-Register in jedem DRAM enthalten bevorzugt die für die Normal- und Seiten-Modus-Zugriffe verwendeten Zugriffszeiten.
  • Der Zugriffsmodus bestimmt ebenfalls, ob der DRAM die Leseverstärker voraufladen oder die Inhalte der Leseverstärker für einen nachfolgenden Seitenmodus-Zugriff speichern soll. Typische Einstellungen sind "Voraufladen nach norma lem Zugriff" und "Speichern nach Seitenmodus-Zugriff", aber "Voraufladen nach Seitenmodus-Zugriff" oder "Speichern nach Normalzugriff" sind erlaubte, auswählbare Betriebsmodi. Der DRAM kann ebenfalls eingestellt werden, um die Leseverstärker voraufzuladen, wenn sie für einen ausgewählten Zeitabschnitt nicht angesprochen werden.
  • Im Seitenmodus können in den DRAM-Leseverstärkern gespeicherte Daten innerhalb deutlich kürzerer Zeit angesprochen werden als es erfordert, Daten im Normalmodus auszulesen (10–20 ns gegenüber 40–100 ns). Diese Daten können für lange Zeitabschnitte verfügbar gehalten werden. Wenn diese Leseverstärker (und damit Bit-Leitungen) jedoch nach einem Zugriff nicht voraufgeladen werden, leidet ein nachfolgender Zugriff auf ein anderes Speicherwort (Zeile) unter einer Voraufladezeit-Verzögerung von etwa 40–100 ns, da die Leseverstärker vor dem Zwischenspeichern eines neuen Wertes aufgeladen werden müssen.
  • Die Inhalte der Leseverstärker können somit gehalten und als ein Cache genutzt werden, und erlauben einen schnelleren, wiederholten Zugriff auf kleine Datenblöcke. DRAM-basierte Seitenmodus-Caches wurden im Stand der Technik unter Verwendung konventioneller DRAM-Organisationen versucht, aber sie sind nicht sehr wirksam, da mehrere Chips pro Computerwort benötigt werden. Solch ein konventioneller Seitenmodus-Cache enthält viele Bits (zum Beispiel 32 Chips × 4kBit), hat aber nur sehr wenige unabhängige Speichereinträge. Mit anderen Worten halten die Leseverstärker zu jedem gegebenen Zeitpunkt nur einige unterschiedliche Blöcke oder Speicher-"Positionen" (einen einzelnen Block aus 4k Wörtern in dem obigen Beispiel). Simulationen haben gezeigt, dass oberhalb von 100 Blöcken hohe Trefferhäufigkeiten verwirklicht werden müssen (> 90% der Anforderungen finden die angeforderten Daten bereits in dem Cache-Speicher), ungeachtet der Größe jedes Blockes. Siehe zum Beispiel Anant Agarwal, et al., "An Analytic Cache Model", ACM Transactions on Computer Systems, Band 7(2), Seiten 184–215 (Mai 1989).
  • Die Organisation von Speicher gemäß dem vorliegenden Ausführungsbeispiel erlaubt jedem DRAM, einen oder mehrere (4 für 4MBit DRAMs) separat adressierte und unabhängige Datenblöcke zu halten. Ein Personal Computer oder ein Arbeitsplatz mit 100 solcher DRAMs (d.h. 400 Blöcken oder Positionen) kann au ßerordentlich hohe, leicht wiederholbare Trefferraten (98-99% im Durchschnitt) verwirklichen, verglichen mit niedrigeren (50–80%) breit variierenden Trefferraten unter Verwendung von in konventioneller Weise organisierten DRAMs. Infolge des der fehlenden Voraufladung zugeordneten Zeitverlustes bei einem "Fehlen" des Seitenmodus-Cache wurde weiterhin der konventionelle DRAM-basierte Seitenmodus-Cache allgemein als weniger gut arbeitend als gar kein Cache gefunden.
  • Für DRAM-Slave-Zugriff werden die Zugriffstypen bevorzugt auf folgende Weise verwendet:
    Figure 00200001
  • Durchschnittsfachleute erkennen, dass eine Reihe verfügbarer Bits als Schalter zum Steuern dieser Zugriffsmodi vorgesehen sein kann. Zum Beispiel:
    AccessType[2] = Seitenmodus/Normal-Schalter
    AccessType[3] = Vorauflade/Datensicherungs-Schalter
  • BlockSize[0:3] gibt die Größe der Datenblockübertragung an. Wenn BlockSize[0] 0 ist, sind die verbleibenden Bits die binäre Darstellung der Blockgröße (0-7). Wenn BlockSize[0] 1 ist, geben die verbleibenden Bits die Blockgröße als eine binäre Potenz von 2 von 8 bis 1024 an. Ein Block der Länge Null kann als ein besonderer Befehl interpretiert werden, zum Beispiel zum Auffrischen eines DRAM ohne Daten zurückzugeben, oder zum Wechseln des DRAM von dem Seitenmodus in einen normalen Zugriffsmodus oder umgekehrt.
    BlockSize[0:2] Anzahl von Bytes im Block
    0–7 0–7 entsprechend
    8 8
    9 16
    10 32
    11 64
    12 128
    13 256
    14 512
    15 1024
  • Durchschnittsfachleute erkennen, dass andere Blockgrößen-Kodierungsschemata oder Werte verwendbar sind.
  • In den meisten Fällen antwortet ein Slave zu dem ausgewählten Zugriffszeitpunkt durch Lesen oder Schreiben von Daten von oder auf den Bus über die Bus-Leitungen BusData[0:7] und AddrValid wird logisch 0. In einer bevorzugten Ausführungsform betrifft im wesentlichen jeder Speicherzugriff noch ein einzelnes Speichergerät, d.h., ein einzelner Block wird gelesen aus einem einzelnen oder geschrieben in ein einzelnes Speichergerät.
  • Wiederholungs-Format
  • In einigen Fällen kann ein Slave außer Stande sein, auf eine Anforderung korrekt zu antworten, z.B. für ein Lesen oder Schreiben. In solch einer Situation soll der Slave eine Fehlermitteilung zurückgeben, die manchmal bezeichnet wird als N(o)ACK(nowledge) oder Wiederholungs-Mitteilung. Die Wiederholungs-Mitteilung kann Informationen über die eine Wiederholung erfordernden Bedingungen beinhalten, aber dies erhöht die Systemanforderungen für Schaltungen in Slave und Master. Eine einfache Mitteilung, die nur anzeigt, dass ein Fehler aufgetreten ist, gestattet einen weniger komplexen Slave und der Master kann eine beliebige Aktion unternehmen, die benötigt wird, um den Auslöser des Fehlers zu verstehen und zu korrigieren.
  • Zum Beispiel kann ein Slave unter bestimmten Bedingungen nicht in der Lage sein, die angeforderten Daten zu liefern. Während eines Seitenmodus-Zugriffs muss der ausgewählte DRAM im Seitenmodus sein und die angeforderte Adresse muss mit der Adresse der in den Leseverstärkern oder Zwischenspeichern gehaltenen Daten übereinstimmen. Jeder DRAM kann diese Übereinstimmung während eines Seitenmodus-Zugriffs prüfen. Wenn keine Übereinstimmung festgestellt wird, beginnt der DRAM mit dem Voraufladen und gibt eine Wiederholungs-Mitteilung zu dem Master während des ersten Zyklus' des Datenblockes zurück (der Rest des zurückgegebenen Blockes wird ignoriert). Der Master muss dann die Voraufladezeit abwarten (welche eingestellt ist, um dem Typ des in Frage stehenden Slave zu entsprechen, gespeichert in einem besonderen Register, Pre-ChargeReg), und dann die Anforderung als einen normalen DRAM-Zugriff erneut senden (AccessType = 6 oder 7).
  • Gemäß einem bevorzugten Ausführungsbeispiel signalisiert ein Slave eine Wiederholung durch Anlegen von AddrValid als wahr, zu dem Zeitpunkt, zu welchem dem Slave vorgegeben wird, das Lesen oder Schreiben von Daten zu beginnen. Ein Master, welcher zu dem Slave schreiben will, muss AddrValid während des Schreibens überwachen und eine Korrekturaktion ausführen, wenn er eine Wiederholungs-Mitteilung erfasst. 5 zeigt das Format einer Wiederholungs-Mitteilung 28, welches für Lese-Anforderungen nützlich ist, bestehend aus 23 AddrValid = 1 mit Master[0:3] = 0 in dem ersten (geradzahligen) Zyklus. Es ist anzumerken, dass AddrValid für Datenblockübertragungen normalerweise 0 ist, und dass kein Master 0 (nur 1 bis 15 sind zugelassen) vorhanden ist. Alle DRAMs und Master können ein solches Paket als ein ungültiges angefordertes Paket leicht erkennen, und daher eine Wiederholungs-Mitteilung. Bei dieser Art von Bus-Transaktion können sämtliche Felder mit Ausnahme des Masters[0:3] und AddrValid 23 als Informationsfelder verwendet werden, obwohl bei der Implementierung beschrieben ist, dass die Inhalte unbestimmt sind. Durchschnittsfachleute erkennen, dass ein weiteres Verfahren zum Zuweisen einer Wiederholungs-Mitteilung ist, eine Datalnvalid-Leitung und ein Signal zu dem Bus hinzuzufügen. Dieses Signal kann im Falle von NACK gesetzt werden.
  • Bus-Arbitrierung
  • In dem Fall eines einzelnen Masters ergeben sich per Definition keine Arbitrierungsprobleme. Der Master sendet Anforderungspakete und verfolgt die Perioden, wenn der Bus als Antwort auf dieses Paket belegt ist. Der Master kann mehrere Anforderungen einplanen, so dass sich die entsprechenden Datenblock-Übertragungen nicht überlappen.
  • Die Bus-Architektur gemäß diesem Ausführungsbeispiel ist ebenfalls nützlich bei Konfigurationen mit mehreren Mastern. Wenn zwei oder mehr Master auf dem gleichen Bus vorhanden sind, muss jeder Master alle anhängigen Transaktionen verfolgen, und daher weiß jeder Master, wann er ein Anforderungspaket senden und auf die entsprechende Datenblock-Übertragung zugreifen kann. Es ergeben sich jedoch Situationen, in welchen zwei oder mehr Master ein Anforderungspaket zu etwa der gleichen Zeit senden und eine Mehrzahl von Anforderungen erfasst werden muss, welche dann durch eine Art von Bus-Arbitrierungsortiert werden.
  • Es gibt viele Wege für jeden Master, zu verfolgen, wann der Bus belegt ist und sein wird. Ein einfaches Verfahren ist für jeden Master, eine Bus-Belegt-Datenstruktur zu unterstützen, zum Beispiel durch Unterstützen von zwei Zeigern, einer zum Anzeigen des frühesten Punktes in der Zukunft, wenn der Bus belegt sein wird, und der andere zum Anzeigen des frühesten Punktes in der Zukunft, wann der Bus frei sein wird, d.h. das Ende der spätesten, anhängigen Datenblockübertragung. Unter Verwendung dieser Information kann jeder Master bestimmen, ob und wann ausreichend Zeit vorhanden ist, um ein Anforderungspaket (wie oben unter Protokoll beschrieben) zu senden, bevor der Bus durch eine andere Datenblockübertragung belegt wird, und ob die entsprechende Datenblockübertragung eine Wechselwirkung mit anhängigen Bus-Transaktionen bewirken wird. Somit muss jeder Master jedes Anforderungspaket lesen und seine Bus-Belegt-Datenstruktur aktualisieren, um Informationen darüber beizubehalten, wann der Bus frei ist und sein wird.
  • Bei zwei oder mehr Mastern auf dem Bus werden Master gelegentlich unabhängige Anforderungspakete während des gleichen Bus-Zyklus' senden. Diese Mehrfach-Anforderungen kollidieren, da jeder dieser Master den Bus gleichzeitig mit unter-schiedlichen Informationen ansteuert, resultierend in vermengten Anforderungsinformationen und keiner gewünschten Datenblockübertragung. In einer bevorzugten Ausführungsform steuert jedes Gerät auf dem Bus, das versucht, eine logische 1 auf einer BusData- oder AddrValid-Leitung zu schreiben, diese Leitung mit einem Strom an, der ausreichend ist, um eine Spannung größer oder gleich dem High-Logikwert für das System zu unterstützen. Geräte steuern nicht Leitungen an, die eine logische 0 aufweisen; diese Leitungen werden einfach bei einer Spannung entsprechend einem Low-Logikwerk gehalten. Jeder Master prüft die Spannung auf wenigstens einigen, bevorzugt allen BusData- und AddrValid-Leitungen, so dass der Master eine logische "1" erfassen kann, wo der erwartete Pegel auf einer Leitung "0" ist, die er während eines gegebenen Bus-Zyklus' nicht ansteuert, aber ein anderer Master ansteuert.
  • Ein weiterer Weg zum Erfassen von Kollisionen ist es, eine oder mehrere Bus-Leitungen zur Kollisionsanzeige auszuwählen. Jeder eine Anforderung sendende Master steuert die Leitung oder Leitungen an und überwacht die ausgewählten Leitungen auf mehr als den normalen Ansteuerungsstrom (oder einen logischen Wert von ">1"), der Anforderungen von mehr als einem Master angibt. Durchschnittsfachleute erkennen, dass dies mit einem Protokoll implementierbar ist, das BusData- und AddrValid-Leitungen betrifft, oder unter Verwendung einer zusätzlichen Bus-Leitung implementierbar ist.
  • In der bevorzugten Ausführungsform erfasst jeder Master Kollisionen durch Überwachen von Leitungen, welche er nicht ansteuert, um zu erkennen, ob ein anderer Master diese Leitungen ansteuert. In 4 beinhaltet das erste Byte des Anforderungspaketes die Nummer jedes Masters, der versucht, den Bus zu nutzen (Master[0:3]). Wenn zwei Master zum gleichen Zeitpunkt beginnend Paketanforderungen senden, werden die Master-Nummern logisch "oder"- zusammen mit wenigstens diesen Mastern verknüpft, und somit kann einer oder beide Master durch Überwachen der Daten auf dem Bus und Vergleichen mit dem, was er sendet, eine Kollision erfassen. Wenn zum Beispiel Anforderungen durch die Master Nummer 2 (0010) und 5 (0101) kollidieren, wird der Bus mit dem Wert Master[0:3] = 7 (0010 + 0101 = 0111) angesteuert. Der Master Nummer 5 erfasst, dass das Signal Master[2] = 1 ist und Master 2 erfasst, dass Master[1] und Master[3] = 1 sind, beiden Mastern mitteilend, dass eine Kollision aufgetreten ist. Ein weiteres Beispiel ist Master 2 und 11, für welche der Bus angesteuert wird mit dem Wert Master [0:3] = 11 (0010 + 1011 = 1011), und obwohl Master 11 diese Kollision nicht leicht erfassen kann, kann dies Master 2. Wenn eine Kollision erfasst wird, steuert jeder eine Kollision erfassende Master den Wert von AddrValid 27 in Byte 5 des Anforderungspaketes 22 auf 1, welches von allen Mastern erfasst wird, einschließlich Master 11 in dem zweiten Beispiel oben, und erzwingt einen Bus-Arbitrierungszyklus, wie unten beschrieben.
  • Eine weitere Kollisionsbedingung kann entstehen, wenn Master A ein Anforderungspaket in Zyklus 0 sendet und Master B versucht, ein Anforderungspaket beginnend in Zyklus 2 des ersten Anforderungspaketes zu senden, und überlappt dabei das erste Anforderungspaket. Dies tritt von Zeit zu Zeit auf, da der Bus mit hohen Geschwindigkeiten arbeitet, und somit kann die Logik in einem zweitauslösenden Master nicht schnell genug sein, um eine durch einen ersten Master in Zyklus 0 ausgelöste Anforderung zu erfassen und schnell genug durch Verzögern seiner eigenen Anforderung zu reagieren. Der Master B teilt schließlich mit, dass er nicht versucht hat, ein Anforderungspaket zu senden (und demnach fast sicher die Adresse, die Master A versucht zu senden, zerstört), und, wie in dem Beispiel einer gleichzeitigen Kollision oben eine 1 auf AddrValid während Byte 5 des ersten Anforderungspaketes 27 ansteuert, um eine Arbitrierung zu erzwingen. Die Logik in der bevorzugten Implementierung ist schnell genug, dass ein Master ein Anforderungspaket durch einen anderen Master durch Zyklus 3 des ersten Anforderungspaketes erfasst, so dass wahrscheinlich kein Master versucht, ein potentiell kollidierendes Anforderungspaket später als Zyklus 2 zu senden.
  • Slave-Geräte müssen eine Kollision nicht direkt erfassen, aber sie müssen warten, etwas nicht Wiederherstellbares zu tun, bis das letzte Byte (Byte 5) gelesen ist, um sicherzustellen, dass das Paket gültig ist. Ein Anforderungspaket mit Master[0:3] gleich 0 (ein Wiederholungssignal) wird ignoriert und bewirkt nicht eine Kollision. Die nachfolgenden Bytes eines solchen Paketes werden ignoriert.
  • Um eine Arbitrierung nach einer Kollision zu beginnen, warten die Master eine vorausgewählte Anzahl von Zyklen nach dem abgebrochenen Anforderungspaket (4 Zyklen in einer bevorzugten Implementierung) und verwenden dann den nächsten freien Zyklus, um den Bus zu unterscheiden (um über den Bus zu entschei den) (den nächsten verfügbaren geradzahligen Zyklus in der bevorzugten Implementierung). Jeder kollidierende Master signalisiert allen anderen kollidierenden Mastern, dass er versucht, ein Anforderungspaket zu senden, eine Priorität wird jedem der kollidierenden Master zugeordnet und dann wird jedem Master erlaubt, seine Anforderung in der Reihenfolge der Priorität auszuführen.
  • 6 stellt eine bevorzugte Weise der Implementierung dieser Arbitrierung dar. Jeder kollidierende Master signalisiert seine Absicht, ein Anforderungspaket zu senden, durch Ansteuern einer einzelnen BusData-Leitung während eines einzelnen Bus-Zyklus' entsprechend seiner zugeordneten Master-Nummer (1–15 in dem vorliegenden Beispiel). Während eines Zwei-Byte-Arbitrierungszyklus' 29 wird Byte 0 den Anforderungen 1–7 von den Mastern 1–7 zugeordnet (Bit 0 wird nicht verwendet), und Byte 1 wird den Anforderungen 8–15 von den Mastern 8–15 zugeordnet. Wenigstens ein Gerät und bevorzugt jeder kollidierende Master liest die Werte auf dem Bus während der Arbitrierungszyklen zum Bestimmen und Speichern, welcher Master den Bus nutzen möchte. Durchschnittsfachleute erkennen, dass ein einzelnes Byte für Arbitrierungsanforderungen belegt werden können, wenn das System mehr Bus-Leitungen als Master beinhaltet. Mehr als 15 Master können durch Belegung zusätzlicher Bus-Zyklen aufgenommen werden.
  • Ein festes Prioritätsschema (welches bevorzugt die Master-Nummern verwendet und die niedrigsten Nummern zuerst auswählt) wird dann verwendet, um zu priorisieren, dann die Anforderungen in einer Bus-Arbitrierungs-Warteschlange zu sequenzieren, welche durch wenigstens ein Gerät unterstützt wird. Diese Anforderungen werden durch jeden Master in der Bus-belegt-Datenstruktur in der Warteschlange eingereiht und es werden keine weiteren Anforderungen zugelassen, bis die Bus-Arbitrierungs-Warteschlange gelöscht ist. Durchschnittsfachleute erkennen, dass andere Prioritäts-Schemata verwendbar sind, einschließlich der Zuordnung einer Priorität entsprechend der physikalischen Position jedes Masters.
  • System-Konfiguration/Zurücksetzen
  • In dem Bus-basierten System gemäß diesem Ausführungsbeispiel ist ein Mechanismus vorgesehen, um jedem Gerät auf dem Bus einen eindeutigen Geräte-Identifizierer (Geräte-ID) nach dem Einschalten oder unter anderen Bedingungen, wie durch das System gewünscht oder benötigt, zu geben. Ein Master kann dann diese Geräte-ID verwenden, um ein bestimmtes Gerät anzusprechen, insbesondere, um Register des bestimmten Gerätes zu setzen oder zu modifizieren, einschließlich der Steuerungs- und Adress-Register. In der bevorzugten Ausführungsform ist ein Master zugewiesen, um den gesamten System-Konfigurationsvorgang auszuführen. Der Master stellt eine Reihe von eindeutigen Geräte-ID-Nummern für jedes an das Bus-System angeschlossene einzelne Gerät bereit. In der bevorzugten Ausführungsform enthält jedes an den Bus angeschlossene Gerät ein besonderes Gerätetyp-Register, welches den Typ des Gerätes angibt, zum Beispiel CPU, 4 MBit Speicher, 64 MBit Speicher oder Disk-Controller. Der Konfigurationsmaster soll jedes Gerät prüfen, den Gerätetyp bestimmen und geeignete Steuerungsregister setzen, einschließlich der Zugriffszeit-Register. Der Konfigurationsmaster soll jedes Speichergerät prüfen und alle geeigneten Speicher-Adress-Register setzen.
  • Ein Mittel zum Setzen eindeutiger Geräte-ID-Nummern ist, jedes Gerät eine Geräte-ID der Reihe nach auswählen zu lassen und den Wert in einem internen Geräte-ID-Register zu speichern. Ein Master kann zum Beispiel sequentielle Geräte-ID-Nummern durch Schieberegister in jedem einer Reihe von Geräten leiten, oder einen Token von Gerät zu Gerät reichen, wodurch das Gerät mit dem Token Geräte-ID-Informationen von einer weiteren Leitung oder Leitungen einliest. In einer bevorzugten Ausführungsform werden Geräte-ID-Nummern Geräten entsprechend ihrer physikalischen Beziehung, zum Beispiel ihrer Reihenfolge entlang des Busses, zugeordnet.
  • In einer bevorzugten Ausführungsform wird die Geräte-ID-Einstellung unter Verwendung von zwei Pins an jedem Gerät, ResetIn und ResetOut, verwirklicht. Diese Pins handhaben normale Logiksignale und werden nur während der Geräte-ID-Konfiguration verwendet. An jeder ansteigenden Flanke des Taktes kopiert jedes Gerät ResetIn (ein Eingang) in ein vierstufiges Rücksetz-Schieberegister. Der Ausgang des Rücksetz-Schieberegisters ist mit ResetOut verbunden, welcher wiederum mit ResetIn für das nächste der Reihe nach angeschlossene Gerät verbunden ist. Im Wesentlichen alle Geräte auf dem Bus sind dadurch miteinander verkettet. Ein erstes Rücksetzsignal bewirkt, während zum Beispiel ResetIn an einem Gerät logisch 1 ist, oder wenn ein ausgewähltes Bit des Rücksetz- Schieberegisters von Null zu nicht-Null übergeht, dass das Gerät hart zurückgesetzt wird, zum Beispiel durch Löschen aller internen Register und Zurücksetzen aller Zustands-Maschinen. Ein zweites Rücksetzsignal, zum Beispiel die abfallende Flanke von ResetIn, zusammengefasst mit veränderbaren Werten auf dem externen Bus, bewirkt, dass das Gerät die Inhalte des externen Busses in dem internen Geräte-ID-Register (Gerät[0:7]) zwischenspeichert.
  • Um alle Geräte auf einem Bus zurückzusetzen, setzt ein Master die ResetIn-Leitung des ersten Geräts ausreichend lange auf "1 ", um sicherzustellen, dass alle Geräte auf dem Bus zurückgesetzt wurden (4 Zyklen mal der Anzahl der Geräte -- es ist anzumerken, dass die maximale Anzahl von Geräten in der bevorzugten Bus-Konfiguration 256 ist (8 Bits), so dass 1024 Zyklen stets ausreichend Zeit zum Zurücksetzen sämtlicher Geräte darstellt). Dann fällt ResetIn auf "0" ab und die BusData-Leitungen werden mit der ersten, gefolgt durch die anschließenden Geräte-ID-Nummern angesteuert, die sich alle vier Taktimpulse ändert. Nachfolgende Geräte setzen diese Geräte-ID-Nummern in den entsprechenden Geräte-ID-Registern, wenn sich die abfallende Flanke von ResetIn durch die Schieberegister der verketteten Geräte ausbreitet. 14 zeigt ResetIn an einem ersten Gerät auf "low" gehend, während ein Master eine erste Geräte-ID an die Bus-Datenleitungen BusData[0:3] anlegt. Das erste Gerät speichert dann diese erste Geräte-ID. Nach vier Taktzyklen ändert der Master BusData[0:3] zu der nächsten Geräte-ID-Nummer und ResetOut geht an dem ersten Gerät auf "low", welcher ResetIn für das nächste verkettete Gerät auf "low" zieht und dem nächsten Gerät erlaubt, die nächste Geräte-ID-Nummer von den Bus-Data[0:3] zu speichern. In der bevorzugten Ausführungsform ist ein Master der Geräte-ID 0 zugeordnet, und es ist die Verantwortlichkeit des Masters, die ResetIn-Leitung zu steuern und aufeinanderfolgende Geräte-ID-Nummern auf dem Bus zu geeigneten Zeiten anzulegen. In der bevorzugten Ausführungsform wartet jedes Gerät zwei Taktzyklen, nachdem ResetIn auf "low" geht, bevor es eine Geräte-ID-Nummer von den Bus-Data[0:3] speichert.
  • Durchschnittsfachleute erkennen, dass längere Geräte-ID-Nummern zu den Geräten verteilt werden können, indem jedes Gerät mehrere Bytes von dem Bus liest und die Werte in dem Geräte-ID-Register zwischenspeichert. Durchschnittsfachleute erkennen ebenfalls, dass alternative Wege zum Erhalten der Geräte-ID- Nummern für einzelne Geräte vorhanden sind. Zu einem bestimmten Zeitpunkt kann jedes Gerät angewiesen werden, den gegenwärtigen Rücksetz-Schieberegisterwert in dem Geräte-ID-Register zwischenzuspeichern.
  • Der Konfigurations-Master sollte eine Zugriffszeit in jedem Zugriffszeit-Register in jedem Slave für eine Periode wählen und setzen, die ausreichend lang ist; um zuzulassen, dass der Slave einen tatsächlichen, gewünschten Speicherzugriff ausführt. Für einen normalen DRAM-Zugriff muss diese Zeit zum Beispiel länger sein als die Zeilenadressimpuls-(RAS, row address stroke)-Zugriffszeit. Wenn die Bedingung nicht erfüllt wird, kann der Slave nicht die korrekten Daten liefern. Der in einem Slave-Zugriffszeit-Register gespeicherte Wert entspricht bevorzugt der Hälfte der Anzahl der Buszyklen, welche das Slave-Gerät warten soll, bevor es den Bus als Antwort auf eine Anfrage verwendet. Ein Zugriffszeitwert von "1" gibt somit an, dass der Slave nicht auf den Bus zugreifen sollte, bis wenigstens zwei Zyklen nach dem letzten Byte des Anforderungspaketes empfangen wurden. Der Wert von AccessReg0ist bevorzugt bei 8 (Zyklen) fixiert, um einen Zugriff auf Steuerungsregister zu unterstützen.
  • Die Bus-Architektur gemäß diesem Ausführungsbeispiel kann mehr als ein Master-Gerät beinhalten. Die Rücksetz- oder Initialisierungs-Sequenz sollte ebenfalls eine Bestimmung beinhalten, ob mehrere Master auf dem Bus vorhanden sind, und wenn dies der Fall ist, eindeutige Master-ID-Nummern jedem zuordnen. Durchschnittsfachleute erkennen, dass es viele Wege gibt, dieses auszuführen. Der Master kann zum Beispiel jedes Gerät abfragen, um zu bestimmen, welche Art von Gerät es ist, zum Beispiel durch Lesen eines besonderen Registers und dann für jedes Master-Gerät die nächste verfügbare Master-ID-Nummer in ein besonderes Register zu schreiben.
  • ECC
  • Fehler-Erfassungs- und -Korrektur-("ECC")-Verfahren sind im Stand der Technik bekannt und können in diesem System implementiert werden. ECC-Informationen werde normalerweise für einen Datenblock zu dem Zeitpunkt errechnet, in dem der Datenblock zuerst in den Speicher geschrieben wird. Der Datenblock hat gewöhnlich eine integrale binäre Größe, z.B. 256 Bits, und die ECC-Information verwendet signifikant weniger Bits. Ein potentielles Problem ergibt sich dadurch, dass jeder binäre Datenblock in bekannten Schemata normalerweise mit angefügten ECC-Bits gespeichert wird, was zu einer Blockgröße führt, die nicht eine integrale binäre Potenz ist.
  • In einer bevorzugten Ausführungsform wird ECC-Information getrennt von den entsprechenden Daten gespeichert, welche dann in Blöcken mit integraler Binärgröße speicherbar sind. ECC-Informationen und entsprechende Daten können zum Beispiel in getrennten DRAM-Geräten gespeichert werden. Daten können ohne ECC unter Verwendung eines einzelnen Anforderungspaketes ausgelesen werden, aber zum Schreiben oder Lesen Fehler-korrigierter Daten werden zwei Anforderungspakete benötigt, eines für die Daten und ein zweites für die entsprechende ECC-Information. Die ECC-Information kann nicht immer permanent gespeichert werden, und in einigen Situationen kann die ECC-Information verfügbar gemacht werden, ohne ein Anforderungspaket zu senden oder ohne eine Busdaten-Blockübertragung.
  • In einer bevorzugten Ausführungsform kann eine Standard-Datenblockgröße zur Verwendung mit ECC ausgewählt werden, und das ECC-Verfahren bestimmt die benötigte Anzahl von Bits von Informationen in einem entsprechenden ECC-Block. RAMs mit ECC-Informationen können programmiert werden, um eine Zugriffszeit zu speichern, die gleich ist zu: (1) der Zugriffszeit des normalen RAM (mit Daten) plus der Zeit zum Zugriff auf einen Standard-Datenblock (für korrigierte Daten) minus der Zeit zum Senden eines Anforderungspaketes (6 Bytes); oder (2) der Zugriffszeit eines normalen RAM minus der Zeit zum Zugriff auf einen Standard-ECC-Block minus der Zeit zum Senden eines Anforderungspaketes. Um einen Datenblock und den entsprechenden ECC-Block zu lesen, gibt der Master einfach eine Anforderung für die Daten aus, unmittelbar gefolgt durch eine Anforderung für den ECC-Block. Der ECC-RAM wartet die ausgewählte Zugriffszeit und legt dann seine Daten an den Bus direkt nachdem (im Fall (1) oben) der Daten-RAM das Ausgeben des Datenblockes beendet hat. Durchschnittsfachleute erkennen, dass die in dem Fall (2) oben beschriebene Zugriffszeit verwendet werden kann, um ECC-Daten anzusteuern, bevor die Daten an die Busleitungen angelegt werden, und erkennt, dass ein Schreiben von Daten analog mit dem zum Lesen beschriebenen Verfahren ausgeführt werden kann. Durchschnittsfachleute erkennen ebenfalls die Anpassungen, die in der Bus-belegt-Struktur und den Anforderungs-Paket-Arbitrierungsverfahren gemäß diesem Ausführungsbeispiel vorgenommen werden müssen, um diese gepaarten ECC-Anforderungen aufzunehmen.
  • Da das System vollkommen flexibel ist, kann der System-Designer die Größe der Datenblöcke und die Anzahl der die Speichergeräte gemäß diesem Ausführungsbeispiel verwendenden ECC-Bits wählen. Es ist anzumerken, dass der Datenstrom auf dem Bus auf verschiedene Weise interpretierbar ist. Die Sequenz kann zum Beispiel 2n Datenbytes, gefolgt von 2m ECC-Bytes sein (oder umgekehrt), oder die Sequenz kann 2k Iterationen von 8 Datenbytes plus 1 ECC Byte sein. Andere Informationen, wie durch ein Verzeichnis-basiertes Cache-Kohärenz-Schema verwendete Information kann ebenfalls auf diese Weise verwaltet werden. Siehe zum Beispiel Anant Agarwal et al., "Scaleable Directory Schemes for Case Consistency", 15th International Symposium on Computer Architecture, Juni 1988, Seite 280–289. Durchschnittsfachleute erkennen alternative Verfahren der Implementierung von ECC-Schemata.
  • Low-Power-3D-Packaging
  • Ein weiterer Hauptvorteil einer bevorzugten Ausführungsform dieser Erfindung ist, dass sie den Speichersystem-Energieverbrauch deutlich verringert. Fast die gesamte in bekannten DRAM verbrauchte Energie wird bei der Ausführung eines Zeilenzugriffs verbraucht. Bei Verwendung eines Einzelzeilen-Zugriffs in einem einzelnen RAM zum Liefern sämtlicher Bits für eine Blockanfrage (verglichen mit einem Reihenzugriff in jedem der mehreren RAMs in konventionellen Speichersystemen) kann die Leistung pro Bit sehr klein gemacht werden. Da die von Speichergeräten gemäß diesem Ausführungsbeispiel verbrauchte Leistung signifikant verringert ist, können die Geräte potentiell sehr viel enger zueinander angeordnet werden, als bei konventionellen Ausbildungen.
  • Die Bus-Architektur gemäß diesem Ausführungsbeispiel ermöglicht eine innovative 3D-Packaging-Technologie. Durch Verwenden eines schmalen, gemultiplexten (zeitgeteilten) Busses kann die Pin-Zählung für ein beliebig großes Speichergerät recht klein gehalten werden – in der Größenordnung von 20 Pins. Weiterhin kann diese Pin-Anzahl von einer Generation von DRAM-Dichte zu der nächsten konstant gehalten werden. Der geringe Leistungsverbrauch erlaubt, dass jedes Package kleiner wird, mit geringeren Pin-Abständen (Abstand zwischen den IC-Pins). Gegenwärtige Surface-Mount-Technologie unterstützt Pin-Abstände von nur 20 mils, alle äußeren Geräteanschlüsse können an einem einzelnen Rand des Speichergerätes implementiert sein. In dieser Ausführungsform nützliche Halbleiter-Dies haben bevorzugt Verbindungen oder Anschlussflächen entlang eines Randes des Dies, welche dann verdrahtet oder anders mit den Package-Pins mit Drähten gleicher Länge verbunden werden können. Diese Geometrie erlaubt ebenfalls sehr kurze Leiter, bevorzugt mit einer wirksamen Leiterlänge von weniger als 4 mm. Weiterhin verwendet diese Ausführungsform nur Bus-geführte Zwischenverbindungen, d.h., jede Anschlussfläche an jedem Gerät ist durch den Bus mit der entsprechenden Anschlussfläche jedes anderen Gerätes verbunden.
  • Die Verwendung einer geringen Pin-Anzahl und eines Rand-angeschlossenen Busses gestattet eine einfache 3D-Package, wodurch die Geräte gestapelt werden und der Bus entlang eines einzelnen Randes des Stapels angeschlossen ist. Die Tatsache, dass sämtliche Signale Bus-geführt sind, ist wichtig für die Implementierung einer einfachen 3D-Struktur. Ohne dies wird die Komplexität der "Backplane" zu schwierig, um sie kostengünstig mit der gegenwärtigen Technologie herzustellen. Die einzelnen Geräte in einem Stapel gemäß diesem Ausführungsbeispiel können sehr eng gepackt werden wegen der durch das gesamte Speichersystem verbrauchten, geringen Leistung, welche erlaubt, dass die Geräte Seite-an-Seite (Bumper to Bumper) oder Oberseite auf Unterseite gestapelt werden. Konventionelle Kunststoff-Spritzguss-Small-Outline (SO)-Packages können mit einem Abstand von etwa 2,5 mm (100 mils) verwendet werden, die ultimative Grenze ist die Geräte-Die-Dicke, welche eine Größenordnung kleiner ist, 0,2–0,5 mm unter Verwendung gegenwärtiger Wafer-Technologie.
  • Elektrische Bus-Beschreibung
  • Durch Verwendung von Geräten mit sehr geringem Leistungsverbrauch und enger physikalischer Packung kann der Bus sehr kurz ausgeführt werden, was wiederum kurze Ausbreitungszeiten und hohe Datengeschwindigkeiten erlaubt. Der Bus gemäß einem bevorzugten Ausführungsbeispiel besteht aus einem Satz Widerstandsabgeschlossener Sendeleitungen mit gesteuerter Impedanz, welcher mit einer Frequenz von bis zu 500 MHz (2 ns-Zyklen) arbeiten kann. Die Merkmale der Sendeleitungen werden stark beeinflusst durch die durch die DRAMs (oder andere Slaves) bewirkte Last, welche auf dem Bus angebracht sind. Diese Geräte fügen unerwünschte Kapazitäten zu den Leitungen hinzu, welche die Impedanz der Leitungen senken und die Sendegeschwindigkeit verringern. In der belasteten Umgebung ist die Bus-Impedanz etwa in dem Bereich von 25 Ohm und die Ausbreitungsgeschwindigkeit etwa c/4 (c = Lichtgeschwindigkeit) oder 7,5 cm/ns. Um mit einer 2 ns Datenfrequenz zu arbeiten, sollte die Übergangszeit auf dem Bus bevorzugt unter 1 ns gehalten werden, um 1 ns für die Einstellung und die Haltezeit des Eingangsempfängers (unten beschrieben) plus Takt-Begradigung übrig zu lassen. Somit müssen die Bus-Leitungen relativ kurz gehalten werden, unter etwa 8 cm für maximale Leistungsfähigkeit. Systeme mit geringerer Leistungsfähigkeit können deutlich längere Leitungen aufweisen, z.B. kann ein 4 ns-Bus 24 cm-Leitungen aufweisen (3 ns Übergangszeit, 1 ns Einstell- und Haltezeit).
  • In der bevorzugten Ausführungsform verwendet der Bus Stromquellen-Treiber. Jeder Ausgang muss in der Lage sein, 50 mA aufzunehmen, welche einen Ausgangs-Hub von 500 mV oder mehr bereitstellen. In der bevorzugten Ausführungsform ist der Bus aktiv "low". Der unbestimmte Zustand (der High-Wert) wird bevorzugt als logisch Null betrachtet, und der bestimmte Wert (Low-Zustand) ist daher eine logische 1. Durchschnittsfachleute erkennen, dass das Verfahren gemäß dem vorliegenden Ausführungsbeispiel auch durch Verwendung der entgegengesetzten logischen Beziehung der Spannung implementierbar ist. Der Wert des unbestimmten Zustands wird durch die Spannung an den Abschlusswiderständen gesetzt und sollte hoch genug sein, um den Ausgängen zu erlauben, als Stromquellen zu wirken, während er so gering wie möglich ist, um den Leistungsverbrauch zu verringern. Diese Beschränkungen führen zu einer Abschluss-Spannung von etwa 2 V oberhalb von Masse in der bevorzugten Implementie rung. Stromquellen-Treiber bewirken, dass die Ausgangsspannung proportional zu der Summe der den Bus ansteuernden Quellen ist.
  • In 7 können, obwohl kein stabiler Zustand vorhanden ist, in welchem zwei Geräte den Bus gleichzeitig ansteuern können, Bedingungen infolge einer Ausbreitungsverzögerung auf den Drähten entstehen, bei welcher ein Gerät, A 41, beginnen kann, seinen Teil auf dem Bus 44 anzusteuern, während der Bus noch durch ein anderes Gerät, B 42 (setzt bereits eine logische 1 auf dem Bus) angesteuert wird. In einem Strom-Treiber verwendenden System ist der Wert an den Punkten 44 und 45 logisch 1, wenn B 42 den Bus ansteuert (vor dem Zeitpunkt 46). Wenn B 42 zum Zeitpunkt 46 abschaltet, genau dann, wenn A 41 anschaltet, bewirkt die zusätzliche Ansteuerung durch das Gerät A 41, dass die Spannung an dem Ausgang 44 von A 41 kurz unter den normalen Wert abfällt. Die Spannung kehrt zu ihrem normalen Wert zum Zeitpunkt 47 zurück, wenn die Wirkung der Abschaltung des Gerätes B 42 entfällt. Die Spannung an dem Punkt 45 wird logisch 0, wenn das Gerät B 42 abgeschaltet wird, und fällt zum Zeitpunkt 47, wenn die Wirkung des Einschaltens des Gerätes A 41 entfällt. Da die durch den Strom von dem Gerät A 41 angesteuerte, logische 1 ungeachtet des vorherigen Wertes auf dem Bus ausgebreitet wird, wird der Wert auf dem Bus garantiert nach einer Zeit der Übergangsverzögerung (tf) eingestellt, d.h., die Zeit, die ein Signal benötigt, um sich von einem Ende des Busses zu dem anderen auszubreiten. Wenn ein Spannungstreiber verwendet wird (wie bei einer verdrahteten ECL-OR-Verknüpfung) verhindert eine logische 1 auf dem Bus (von dem vorher angesteuerten Gerät B 42) den durch das Gerät A 41 ausgegebenen Übergang, der bei dem am weitesten entfernten Teil des Systems, z.B. dem Gerät 43, entfällt, bis die Abschalt-Wellenform von dem Gerät B 42 das Gerät A 41 erreicht, plus eine Zeit der Übergangsverzögerung, und gibt eine Einstellzeit im ungünstigsten Fall des zweifachen der Zeit der Übergangsverzögerung.
  • Taktung
  • Exaktes Takten eines Hochgeschwindigkeitsbusses ohne Einführen eines Fehlers infolge von Ausbreitungsverzögerungen kann implementiert werden, indem dieses Gerät zwei Bus-Taktsignale überwacht und dann intern einen Gerätetakt ableitet, den wahren Systemtakt. Die Bus-Taktinformation kann auf einer oder zwei Lei tungen gesendet werden, um einen Mechanismus für jedes Bus-geführte Gerät bereitzustellen, um einen internen Gerätetakt mit Null Verzerrung relativ zu allen anderen Gerätetakten zu erzeugen. In 8 sendet in der bevorzugten Implementierung ein Bus-Taktgenerator 50 an einem Ende des Busses ein frühes Bus-Taktsignal in einer Richtung entlang des Busses aus, zum Beispiel auf Leitung 53 von links nach rechts, zu dem entfernten Ende des Busses. Das gleiche Taktsignal wird dann durch die in einer zweiten Leitung 54 gezeigte, direkte Verbindung geführt, und kehrt als ein spätes Bus-Taktsignal entlang des Busses von dem fernen Ende zu dem Ursprung zurück und verläuft von rechts nach links. Eine einzelne Bus-Taktleitung kann verwendet werden, wenn sie an dem entfernten Ende des Busses unabgeschlossen bleibt, um dem frühen Bus-Taktsignal zu erlauben, entlang der gleichen Leitung als ein spätes Bus-Taktsignal zurück reflektiert zu werden.
  • 8b zeigt, wie jedes Gerät 51, 52 jedes der zwei Bus-Taktsignale zu einem unterschiedlichen Zeitpunkt empfängt (wegen der Ausbreitungsverzögerung entlang der Drähte), mit konstantem Mittelpunkt in der Zeit zwischen den zwei Bus-Takten entlang des Busses. Bei jedem Gerät 51, 52 ist die ansteigende Flanke 55 von Takt1 53 gefolgt von der ansteigenden Flanke 56 von Takt2 54. Ebenso folgt der abfallenden Flanke 57 von Takt1 53 die abfallende Flanke 58 von Takt2 54. Diese Wellenform-Beziehung wird bei allen anderen Geräten entlang des Busses beobachtet. Geräte, die näher an dem Taktgenerator sind, haben einen größeren Abstand zwischen Takt1 und Takt2, verglichen mit weiter von dem Generator entfernten Geräten, wegen der für jeden Taktimpuls erforderlichen längeren Zeit, um den Bus entlang und zurück entlang Leitung 54 zu laufen, aber der zeitliche Mittelpunkt 59, 60 zwischen den entsprechenden ansteigenden oder abfallenden Flanken ist fest, da für jedes gegebene Gerät die Länge jeder Taktleitung zwischen dem fernen Ende des Busses und dem Gerät gleich ist. Jedes Gerät muss zwei Bus-Takte abtasten und seinen eigenen inneren Gerätetakt am Mittelpunkt der zwei erzeugen.
  • Taktverteilungsprobleme können weiterhin verringert werden durch Verwenden eines Bus-Taktes und einer Gerätetaktfrequenz gleich der Buszyklus-Datenfrequenz, dividiert durch zwei, d.h. die Bus-Taktperiode ist das zweifache der Bus-Zyklusperiode. Somit verwendet ein 500 MHz-Bus bevorzugt eine 250 MHz-Taktfrequenz. Die Verringerung der Frequenz bietet zwei Vorteile. Zuerst weisen alle Signale auf dem Bus die gleichen Worst-Case-Datenfrequenzen auf – Daten können sich auf einem 500 MHz-Bus nur alle 2 ns ändern. Zweitens macht das Takten mit der halben Buszyklus-Datenfrequenz die Bezeichnung der ungeradzahligen und geradzahligen Bus-Zyklen einfach, zum Beispiel durch Bestimmen geradzahliger Zyklen als diejenigen, wenn der interne Gerätetakt 0 ist, und ungeradzahliger Zyklen, wenn der interne Gerätetakt 1 ist.
  • Mehrere Busse
  • Die oben beschriebene Beschränkung der Buslänge beschränkt die Gesamtanzahl von Geräten, die auf einem einzelnen Bus plazierbar sind. Bei der Verwendung von 2,5 mm Abstand zwischen Geräten kann ein einzelner 8 cm-Bus 32 Geräte halten. Durchschnittsfachleute erkennen bestimmte Anwendungen der vorliegenden Ausführungsform, bei welcher die gesamte Datenfrequenz auf dem Bus adäquat ist, aber Speicher- oder Verarbeitungsanforderungen eine deutlich größere Anzahl von Geräten (viel mehr als 32) erfordern. Größere Systeme können unter Verwendung der Lehren dieses Ausführungsbeispiels leicht aufgebaut werden, indem eine oder mehrere als primäre Bus-Einheiten bezeichnete Speicher-Subsysteme verwendet werden, von denen jede aus zwei oder mehr Geräten besteht, normalerweise 32 oder nahe an dem durch die Bus-Gestaltungsanforderungen zugelassenen Maximum, angeschlossen an einen Transceiver.
  • Entsprechend 9 kann jede primäre Buseinheit auf einer einzelnen Schaltkreisplatine 66 angebracht sein, die manchmal als Speicherstift bezeichnet wird. Jeder Transceiver 19 ist wiederum an einen Transceiver-Bus 65 angeschlossen, elektrisch oder in anderer Hinsicht vergleichbar oder identisch mit dem oben ausgiebig beschriebenen Primär-Bus 18. In einer bevorzugten Implementierung sind alle Master auf dem Transceiver-Bus angeordnet, so dass keine Transceiver-Verzögerungen zwischen Mastern und sämtlichen Speichergeräten auf den Primär-Buseinheiten auftreten, so dass alle Speicherzugriffe eine äquivalente Transceiver-Verzögerung erfahren, aber Durchschnittsfachleute erkennen, wie Systeme zu implementieren sind, welche Master auf mehr als einer Buseinheit und Speichergeräte auf dem Transceiver-Bus ebenso wie auf primären Buseinheiten auf weisen. Allgemein kann jede Lehre dieses Ausführungsbeispiels, welche sich auf eine Speichervorrichtung bezieht, unter Verwendung eines Transceivers und einem oder mehreren Speichergeräten an einer angeschlossenen Primär-Buseinheit praktisch ausgeführt werden. Andere Geräte, welche generisch als periphere Geräte bezeichnet werden, einschließlich Disk-Controllern, Video-Controllern oder E/A-Geräte können ebenfalls entweder an den Transceiver-Bus oder eine Primär-Buseinheit angeschlossen sein, wie gewünscht. Durchschnittsfachleute erkennen, wie eine einzelne Primär-Buseinheit oder mehrere Primär-Buseinheiten, wie sie bei einem Transceiver-Bus in bestimmten System-Ausbildungen benötigt werden, verwendet werden.
  • Die Transceiver haben eine wirklich einfache Funktion. Sie erfassen angeforderte Pakete auf dem Transceiver-Bus und senden sie zu ihrer primären Buseinheit. Wenn die Anforderungspaketaufrufe zum Schreiben auf ein Gerät auf einer Primär-Buseinheit eines Transceivers sind, verfolgt dieser Transceiver die Zugriffszeit und die Blockgröße und leitet alle Daten von dem Transceiver-Bus während dieser Zeit zu der primären Buseinheit weiter. Die Transceiver überwachen ebenfalls ihre primäre Buseinheit, leiten alle Daten weiter, die dort für den Transceiver-Bus auftreten. Die Hochgeschwindigkeit der Busse bedeutet, dass die Transceiver parallel angeordnet werden müssen, und erfordert zusätzlich einen oder zwei Zyklen Verzögerung für Daten, um den Transceiver in jeder Richtung zu durchlaufen. In Mastern auf dem Transceiver-Bus gespeicherte Zugriffszeiten müssen erhöht werden, um die Transceiver-Verzögerung zu berücksichtigen, in Slaves auf einer primären Buseinheit gespeicherte Zugriffszeiten sollen nicht modifiziert werden.
  • Durchschnittsfachleute erkennen, dass ein weiter entwickelter Transceiver Sendungen zu und von primären Buseinheiten steuern kann. Eine zusätzliche Steuerungsleitung TrncvrRW kann zu allen Geräten auf dem Transceiver-Bus Busgeführt werden, und verwendet die Leitung in Verbindung mit der AddrValid-Leitung, um allen Geräten auf dem Transceiver-Bus anzuzeigen, dass die Information auf den Datenleitungen: 1) ein Anforderungspaket, 2) gültige Daten für einen Slave, 3) gültige Daten von einem Slave oder 4) ungültige Daten (oder leerlaufender Bus) sind. Eine Benutzung dieser zusätzlichen Steuerungsleitung beseitigt den Bedarf für die Transceiver, zu verfolgen, wann Daten von ihrem Primär-Bus zu dem Transceiver-Bus weitergeleitet werden müssen – sämtliche Transcei ver senden alle Daten von ihrem Primär-Bus zu dem Transceiver-Bus, wenn das Steuerungssignal die Bedingung 2) oben angibt. In einer bevorzugten Implementierung ist, wenn AddrValid und TrncvrRW beide "low" sind, keine Busaktivität vorhanden, und die Transceiver bleiben in einem Leerlaufzustand. Eine ein Anforderungspaket sendende Steuerung setzt AddrValid high, um für alle Geräte auf dem Transceiver-Bus anzuzeigen, dass ein Anforderungspaket gesendet ist, welches jeder Transceiver zu seiner primären Buseinheit weiterleiten soll. Jede zu einem Slave zu schreiben versuchende Steuerung soll AddrValid und TrncvrRW high ansteuern, um anzuzeigen, dass gültige Daten für einen Slave auf den Datenleitungen vorhanden sind. Jedes Transceiver-Gerät sendet dann sämtliche Daten von den Transceiver-Busleitungen zu jeder primären Buseinheit. Jede Steuerung, die erwartet, Informationen von einem Slave zu empfangen, soll ebenfalls die TrncvrRW-Leitung high ansteuern, aber nicht AddrValid ansteuern, um dadurch für jeden Transceiver anzuzeigen, von jedem Slave auf seinem primären Lokalbus kommende Daten zu dem Transceiver-Bus zu senden. Ein noch weiter entwickelter Transceiver erkennt Signale, die an ihre primäre Buseinheit adressiert sind oder von ihr kommen, und sendet Signale nur zu geforderten Zeiten.
  • Ein Beispiel der physikalischen Anordnung der Transceiver ist in 9 gezeigt. Ein wesentliches Merkmal dieser physikalischen Anordnung ist es, den Bus jedes Transceivers 19 mit dem Original-Bus von DRAMs oder anderen Geräten 15, 16, 17 auf der primären Buseinheit 66 zu integrieren. Die Transceiver 19 haben Pins an zwei Seiten und werden bevorzugt flach auf der primären Buseinheit angebracht, mit einem ersten mit dem primären Bus 18 verbundenen Satz von Pins. Ein zweiter Satz Transceiver-Pins 20, bevorzugt orthogonal zu dem ersten Satz von Pins, ist ausgerichtet, um dem Transceiver 19 zu erlauben, an dem Transceiver-Bus 65 in im wesentlichen der gleichen Weise angebracht zu werden, wie die DRAMs an der primären Buseinheit angebracht werden. Der Transceiver-Bus kann allgemein planar und in einer anderen Ebene, bevorzugt orthogonal zu der Ebene jeder primären Buseinheit sein. Der Transceiver-Bus kann ebenfalls allgemein rund sein, mit primären Buseinheiten, die senkrecht und tangential an den Transceiver-Bus angebracht sind.
  • Eine Verwendung dieses Zwei-Ebenen-Schemas erlaubt es, leicht ein System aufzubauen, das über 500 Slaves (16 Busse mit jeweils 32 DRAMs) enthält.
  • Durchschnittsfachleute können das oben beschriebene Geräte-ID-Schema modifizieren, um mehr als 256 Geräte aufzunehmen, zum Beispiel durch Verwendung einer längeren Geräte-ID oder Verwendung zusätzlicher Register zum Halten einiger der Geräte-ID. Dieses Schema kann noch in einer dritten Dimension erweitert werden, um einen Transceiver-Bus zweiter Ordnung zu schaffen, der mehrere Transceiver-Busse durch Ausrichten der Transceiver-Buseinheiten parallel zu- und übereinander verbindet, und der entsprechende Signalleitungen durch einen geeigneten Transceiver zum Bus führt. Die Verwendung eines solchen Transceiver-Busses zweiter Ordnung erlaubt das Anschließen vieler Tausender Slave-Geräte an das, was effektiv ein einzelner Bus ist.
  • Geräte-Schnittstelle
  • Die Geräte-Schnittstelle zu dem Hochgeschwindigkeits-Bus kann in drei Hauptteile aufgeteilt werden. Der erste Teil ist die elektrische Schnittstelle. Dieser Teil beinhaltet die Eingangsempfänger, Bustreiber und die Takterzeugungsschaltung. Der zweite Teil enthält die Adress-Vergleichsschaltung und Timing-Register. Dieser Teil nimmt das eingegebene Anforderungspaket und bestimmt, ob die Anforderung für dieses Gerät ist, und wenn dies der Fall ist, beginnt der interne Zugriff und liefert die Daten zur richtigen Zeit zu den Pins. Der letzte Teil, insbesondere für Speichergeräte wie DRAMs, ist der DRAM-Spalten-Zugriffspfad. Dieser Teil benötigt eine Bereitstellung von Bandbreite in und aus den DRAM-Leseverstärkern, die größer als die in konventionellen DRAMs vorgesehene Bandbreite ist. Die Implementierung der elektrischen Schnittstelle und der DRAM-Spalten-Zugriffspfad werden noch detaillierter in den folgenden Abschnitten beschrieben. Durchschnittsfachleute erkennen, wie bekannte Adress-Vergleichsschaltungen und bekannte Registerschaltungen zu modifizieren sind.
  • Elektrische Schnittstelle – Eingabe/Ausgabe-Schaltung
  • Ein Blockschaltbild der bevorzugten Eingabe/Ausgabe-Schaltung für Adress/Daten/Steuerungs-Leitungen ist in 10 gezeigt. Diese Schaltung ist insbesondere gut geeignet für die Verwendung in DRAM-Geräten, kann aber durch einen Durchschnittsfachmann zur Verwendung in anderen an den Bus gemäß diesem Ausführungsbeispiel angeschlossenen Geräten verwendet oder modifiziert wer den. Sie besteht aus einem Satz von Eingangsempfängern 71, 72 und Ausgabe-Treibern 76, welche an die Eingabe/Ausgabe-Leitung 69 und Anschlussfläche 75 angeschlossen sind und Schaltungen zum Verwenden des internen Taktes 73 und des internen Takt-Komplements 74 zum Ansteuern der Eingabe-Schnittstelle. Die getakteten Eingangsempfänger nutzen den Vorteil der synchronen Natur des Busses. Um die Leistungsanforderungen für Geräte-Eingabe-Empfänger weiter zu verringern, ist jeder Geräte-Pin und somit jede Busleitung an zwei getaktete Empfänger angeschlossen, einen zum Abtasten der geradzahligen Zyklus-Eingaben und den anderen zum Abtasten der ungeradzahligen Zyklus-Eingaben. Durch dieses De-multiplexen des Eingangs 70 an dem Pin wird jedem getakteten Verstärker ein voller 2 ns-Zyklus zum Verstärken des Niedrigspannungs-Hub-Signals auf dem Bus zu einem vollwertigen CMOS-Logiksignal gegeben. Durchschnittsfachleute erkennen, dass zusätzlich getaktete Eingangsempfänger verwendet werden können. Zum Beispiel können vier Eingangsempfänger an jeden Geräte-Pin angeschlossen sein und durch einen modifizierten internen Gerätetakt getaktet werden, um sequentielle Bits von dem Bus zu internen Geräteschaltungen zu übertragen und noch höhere externe Busgeschwindigkeiten oder noch längere Einstellzeiten zum Verstärken des Niedrigspannungs-Hub-Signales des Busses zu einem vollwertigen CMOS-Logiksignal zu erlauben.
  • Die Ausgabe-Treiber sind wirklich einfach und bestehen aus einem einzelnen NMOS-Pulldown-Transistor 76. Dieser Transistor ist so bemessen, dass er unter ungünstigsten Bedingungen immer noch die von dem Bus benötigten 50 mA aufnehmen kann. Bei einer 0,8 Micron CMOS-Technologie ist der Transistor etwa 200 Micron lang. Die gesamte Busleistung kann verbessert werden durch Verwenden von Rückkopplungstechniken zum Steuern des Ausgabe-Transistorstromes, so dass der Strom durch das Gerät ungefähr 50 mA unter allen Betriebsbedingungen ist, obwohl dies für einen einwandfreien Busbetrieb nicht absolut erforderlich ist. Ein Beispiel von einem aus vielen, Durchschnittsfachleuten bekannten Verfahren bei der Verwendung von Rückkopplungstechniken zum Steuern eines Stromes ist beschrieben in Hans Schumacher, et al., "CMOS Subnanosecond True-ECL Output Buffer", J. Solid State Circuits, Band 25 (1), Seiten 150–154 (Feb. 1990). Ein Steuern dieses Stromes verbessert die Leistungsfähigkeit und verringert den Energieverbrauch. Dieser Ausgabetreiber, welcher mit 500 MHz betrieben werden kann, kann wiederum durch einen geeigneten Multiplexer mit zwei oder mehr (bevorzugt vier) Eingängen gesteuert werden, welche an die weitere interne Chip-Schaltung angeschlossen sind, von denen alle gemäß dem bekannten Stand der Technik ausgebildet sind.
  • Die Eingangsempfänger jedes Slave müssen in der Lage sein, während jedes Zyklus' zu arbeiten, um zu bestimmen, ob das Signal auf dem Bus ein gültiges Anforderungspaket ist. Diese Anforderung führt zu einer Anzahl von Beschränkungen für die Eingabeschaltung. Zusätzlich zu der Anforderung kleiner Erfassungs- und Auflösungs-Verzögerungen dürfen die Schaltungen geringe oder keine Gleichspannung, geringe Wechselspannung aufnehmen und einen sehr kleinen Strom zurück in die Eingabe- oder Referenz-Leitungen abgeben. Der in 11 gezeigte, getaktete Standard-DRAM-Leseverstärker erfüllt all diese Anforderungen mit Ausnahme des Bedarfs nach geringen Eingangsströmen. Wenn dieser Leseverstärker vom Lesen zum Abtasten übergeht, wird die Kapazität der internen Knoten 83 und 84 in 11 durch die Referenz-Leitung 68 und den Eingang 69 entladen. Dieser bestimmte Strom ist gering, aber die Summe solcher Ströme von sämtlichen Eingängen auf die Referenz-Leitungen, summiert über alle Geräte, kann beträchtlich groß sein.
  • Die Tatsache, dass das Vorzeichen des Stromes von den vorher empfangenen Daten abhängt, macht die Sache ungünstig. Ein Weg zum Lösen dieses Problems ist es, die Abtastperiode in zwei Phasen aufzuteilen. Während der ersten Phase werden die Eingänge gegen eine gepufferte Version des Referenzpegels (welcher ein Offset aufweisen kann) kurzgeschlossen. Während der zweiten Phase werden die Eingänge an die wahren Eingänge angeschlossen. Dieses Schema entfernt den Eingangsstrom nicht vollständig, da der Eingang die Knoten 83 und 84 von dem Referenzwert zu dem gegenwärtigen Eingangswert aufladen muss, aber er verringert die erforderliche Gesamtladung um etwa einen Faktor von 10 (es erfordert lediglich eine 0,25V Änderung statt einer 2,5V Änderung). Durchschnittsfachleute erkennen, dass viele andere Verfahren verwendet werden können, um einen getakteten Verstärker anzugeben, der mit sehr niedrigen Eingangsströmen arbeitet.
  • Ein wesentlicher Teil der Eingabe/Ausgabe-Schaltung erzeugt einen internen Gerätetakt basierend auf frühen und späten Bus-Takten. Ein Steuern der Takt- Verzerrung (der Differenz im Takt-Timing zwischen Geräten) ist in einem mit 2 ns-Zyklen laufenden Gerät wichtig, und somit wird der interne Gerätetakt erzeugt, so dass der Eingangs-Abtaster und der Ausgangs-Treiber zeitlich so nahe wie möglich an der Mitte zwischen den zwei Bus-Takten arbeitet.
  • Ein Blockschaltbild der internen Gerätetakt-Erzeugungsschaltung ist in 12 gezeigt, und das entsprechende Zeitdiagramm in 13. Die Grundidee hinter dieser Schaltung ist relativ einfach. Ein Gleichspannungsverstärker 102 wird verwendet, um den Bus-Takt mit geringem Hub in ein CMOS-Signal mit vollem Hub umzuwandeln. Dieses Signal wird dann in eine veränderliche Verzögerungsleitung 103 eingespeist. Der Ausgang der Verzögerungsleitung 103 versorgt drei zusätzliche Verzögerungsleitungen: 104 mit einer festen Verzögerung; 105 mit der gleichen festen Verzögerung plus einer zweiten veränderlichen Verzögerung; und 106 mit der gleichen festen Verzögerung plus einer Hälfte der zweiten veränderlichen Verzögerung. Die Ausgänge 107, 108 der Verzögerungsleitungen 104 und 105 steuern getaktete Eingangsempfänger 101 und 111 an, die mit frühen und späten Bus-Takteingängen 100 und 110 verbunden sind. Diese Eingangsempfänger 101 und 111 haben den gleichen Aufbau wie die oben beschriebenen und in 11 gezeigten Empfänger. Veränderliche Verzögerungsleitungen 103 und 105 werden über Rückkopplungsleitungen 116, 115 angepasst, so dass Eingangsempfänger 101 und 111 die Bus-Takte genau in deren Übergängen abtasten. Verzögerungsleitungen 103 und 105 werden so angepasst, dass die fallende Flanke 120 des Ausgangs 107 der fallenden Flanke 121 des frühen Bus-Taktes, Takt1 53, um einen Zeitbetrag 128 vorausgeht, der gleich der Verzögerung in dem Eingangsabtaster 101 ist. Die Verzögerungsleitung 108 wird auf die gleiche Weise angepasst, so dass die fallende Flanke 122 der fallenden Flanke 123 des späten Bus-Taktes, Takt2 54, um die Verzögerung 128 in dem Eingangsabtaster 111 vorausgeht.
  • Da die Ausgänge 107 und 108 mit den zwei Bus-Takten synchronisiert sind und der Ausgang 73 der letzten Verzögerungsleitung 106 mittig zwischen den Ausgängen 107 und 108 ist, d.h., der Ausgang 73 folgt dem Ausgang 107 mit dem gleichen Zeitbetrag 129, den der Ausgang 73 dem Ausgang 108 vorausgeht, stellt der Ausgang 73 einen internen Gerätetakt mittig zwischen den Bus-Takten bereit. Die fallende Flanke 124 des internen Gerätetaktes 73 geht der Zeit der tatsächli chen Eingabe-Abtastung 125 um eine Abtaster-Verzögerung voraus. Es ist anzumerken, dass diese Schaltungsanordnung die Verzögerung in im wesentlichen allen Geräte-Eingangsempfängern 71 und 72 (10) automatisch ausgleicht, da die Ausgänge 107 und 108 angepasst werden, so dass die Bus-Takte durch Eingangsempfänger 101 und 111 genau bei den Bus-Takt-Übergängen abgetastet werden.
  • In der bevorzugten Ausführungsform werden zwei Sätze dieser Verzögerungsleitungen verwendet, einer zum Erzeugen des wahren Wertes des internen Gerätetaktes 73, und der andere zum Erzeugen des Komplements 74, ohne eine Invertierer-Verzögerung hinzuzufügen. Diese duale Schaltung erlaubt die Erzeugung echter komplementärer Takte mit extrem geringer Verzerrung. Der komplementäre, interne Gerätetakt wird verwendet, um die "geradzahligen" Eingangsempfänger zu takten, um zum Zeitpunkt 127 abzutasten, während der wahre interne Gerätetakt verwendet wird, um die "ungeradzahligen" Eingangsempfänger zu takten, um zum Zeitpunkt 125 abzutasten. Die wahren und komplementären internen Gerätetakte werden ebenfalls verwendet, um auszuwählen, welche Daten an die Ausgabe-Treiber angelegt werden. Die Gate-Verzögerung zwischen dem internen Gerätetakt und Ausgabeschaltungen, welche den Bus ansteuern, ist geringfügig größer als die entsprechende Verzögerung für die Eingangsschaltungen, was bedeutet, dass die neuen Daten stets an den Bus angelegt werden, kurz nachdem die alten Daten abgetastet wurden.
  • DRAM-Spalten-Zugriffsmodifikation
  • Ein Blockschaltbild eines konventionellen 4 MBit-DRAM 130 ist in 15 gezeigt. Die DRAM-Speichermatrix ist in eine Anzahl von Teilmatrizen 150157, zum Beispiel 8, aufgeteilt. Jede Teilmatrix ist in Matrizen 148, 149 aus Speicherzellen aufgeteilt. Eine Zellenadressauswahl wird durch Dekodierer 146 ausgeführt. Ein Spaltendekodierer 147A, 147B einschließlich Spalten-Leseverstärkern an jeder Seite des Dekodierers durchläuft den Kern jeder Teilmatrix. Diese Spalten-Leseverstärker können eingestellt werden, um den zuletzt gespeicherten Wert vorauf-zuladen oder zwischenzuspeichern, wie detailliert oben beschrieben. Interne E/ALeitungen verbinden jeden Satz von Leseverstärkern, wie durch entsprechende, vorgeschaltete Spalten-Dekodierer, mit einer Eingabe- und Ausgabe schaltung, die direkt mit den Geräte-Pins verbunden ist. Diese internen E/A-Leitungen werden verwendet, um die Daten von den ausgewählten Bitleitungen zu den Daten-Pins (einige der Pins 131145) zu führen, oder Daten von den Pins zu entnehmen und die ausgewählten Bit-Leitungen zu schreiben. Solch ein durch bekannte Beschränkungen organisierter Spaltenzugriffspfad weist keine ausreichende Bandbreite für eine Schnittstelle mit einem Hochgeschwindigkeits-Bus auf. Das Verfahren gemäß diesem Ausführungsbeispiel erfordert nicht die Änderung des für den Spaltenzugriff verwendeten gesamten Verfahrens, ändert aber Implementierungs-Einzelheiten. Viele dieser Einzelheiten wurden selektiv in bestimmten schnellen Speichergeräten implementiert, aber niemals in Verbindung mit der Bus-Architektur gemäß diesem Ausführungsbeispiel.
  • Ein Betreiben der internen E/A-Leitungen auf konventionelle Weise mit hohen Bus-Zyklusfrequenzen ist nicht möglich. Bei dem bevorzugten Verfahren werden mehrere (bevorzugt 4) Bytes während jedes Zyklus' gelesen oder geschrieben und der Spalten-Zugriffspfad ist modifiziert, um mit einer niedrigeren Frequenz zu arbeiten (die Inverse der Anzahl der pro Zyklus angesprochenen Bytes, bevorzugt 1/4 der Bus-Zyklusfrequenz). Drei verschiedene Techniken werden verwendet, um die erforderlichen zusätzlichen internen E/A-Leitungen bereitzustellen und Daten zu Speicherzellen mit dieser Frequenz zu liefern. Zuerst wird die Anzahl von E/A-Bit-Leitungen in jeder Teilmatrix, welche den Spaltendekodierer 147 durchläuft, erhöht, z. B. auf 16, acht für jede der zwei Spalten der Spalten-Leseverstärker, und der Spaltendekodierer wählt einen Satz von Spalten aus der "oberen" Hälfte 148 der Teilmatrix 150 und einen Satz von Spalten aus der "unteren" Hälfte 149 während jedes Zyklus', wobei der Spaltendekodierer einen Spalten-Leseverstärker pro E/A-Bit-Leitung auswählt. Zweitens wird jede Spalten-E/A-Leitung in zwei Hälften aufgeteilt, welche Daten unabhängig über getrennte interne E/A-Leitungen von der linken Hälfte 147A und der rechten Hälfte 147B jeder Teilmatrix (teilt jede Teilmatrix in Quadranten) transportiert, und der Spaltendekodierer wählt Leseverstärker von jeder rechten und linken Hälfte der Teilmatrix aus, um die Anzahl von in jedem Zyklus verfügbaren Bits zu verdoppeln. Somit schaltet jede Spalten-Dekodierungsauswahl n Spalten-Leseverstärker an, wobei n gleich vier (obere linke und rechte, untere linke und rechte Quadranten) mal die Anzahl von E/A-Leitungen in dem Bus zu jedem Teilmatrix-Quadranten (8 Leitungen jeweils × 4 = 32 Leitungen in der bevorzugten Implementierung) ist. Schließlich werden während jedes RAS-Zyklus' zwei unterschiedliche Teilmatrizen, z.B. 157 und 153, angesprochen. Dies verdoppelt erneut die verfügbare Anzahl von Daten enthaltenden E/A-Leitungen. Zusammengenommen erhöhen diese Änderungen die interne E/A-Bandbreite um wenigstens einen Faktor 8. Vier interne Busse werden verwendet, um diese internen E/A-Leitungen zu führen. Ein Erhöhen der Anzahl von E/A-Leitungen und Aufteilen derselben in der Mitte verringert deutlich die Kapazität jeder internen E/A-Leitung, was wiederum die Spaltenzugriffszeit verringert und die Spaltenzugriffsbandbreite noch weiter erhöht.
  • Die Mehrzahl der oben beschriebenen Eingangsempfänger mit vorgeschaltetem Gate erlaubt eine Hochgeschwindigkeitseingabe von den Geräte-Pins auf den internen E/A-Leitungen und direkt in den Speicher. Der oben beschriebene, gemultiplexte Ausgabetreiber wird verwendet, um mit dem durch die Verwendung dieser Techniken verfügbaren Datenfluss Schritt zu haften. Steuerungseinrichtungen sind vorgesehen, um auszuwählen, ob Information an den Geräte-Pins als eine Adresse behandelt werden soll, und daher zu dekodieren ist, oder als Eingabe- oder Ausgabedaten, die anzulegen sind an die oder zu lesen sind von den internen E/A-Leitungen.
  • Jede Teilmatrix kann 32 Bits pro Zyklus ansprechen, 16 Bits von der linken Teilmatrix und 16 von der rechten Teilmatrix. Mit 8 E/A-Leitungen pro Leseverstärker-Spalte und Ansprechen von zwei Teilmatrizen gleichzeitig kann der DRAM 64 Bits pro Zyklus bereitstellen. Diese zusätzliche E/A-Bandbreite wird nicht zum Lesen benötigt (und wird wahrscheinlich nicht verwendet), kann aber zum Schreiben benötigt werden. Die Verfügbarkeit von Schreib-Bandbreite ist ein schwierigeres Problem als Lese-Bandbreite, da Überschreiben eines Wertes in einem Leseverstärker ein langsamer Vorgang sein kann, abhängig davon, wie der Leseverstärker an die Bit-Leitung angeschlossen ist. Der zusätzliche Satz interner E/A-Leitungen stellt einen Bandbreiten-Streifen für Schreibvorgänge bereit.

Claims (7)

  1. Synchrone dynamische Halbleiter-Direktzugriffsspeichervorrichtung (DRAM), welche ein Speicherfeld mit einer Mehrzahl dynamischer Speicherzellen beinhaltet, wobei die Speichervorrichtung umfasst: Kopplungsmittel, welche ausgestaltet sind, um das DRAM an einen externen Bus, welcher Teil einer Halbleiter-Busarchitektur ist, zu koppeln, wobei die Halbleiter-Busarchitektur eine Mehrzahl von Halbleitervorrichtungen beinhaltet, welche parallel an den externen Bus gekoppelt sind, wobei der externe Bus eine Mehrzahl von Busleitungen beinhaltet, um im Wesentlichen alle Adress-, Daten-, und Steuerinformationen zu führen, die von dem DRAM zur Kommunikation mit im Wesentlichen allen anderen Halbleitervorrichtungen, die an den externen Bus gekoppelt sind, benötigt werden, wobei die Kopplungsmittel ausgestaltet sind, um gemultiplexte Adressen zu empfangen, eine Taktempfängerschaltung, um ein externes Taktsignal zu empfangen, ein programmierbares Zugriffszeit-Register zum Speichern eines Wertes, der eine Anzahl von Taktzyklen eines externen Taktsignals (53, 54) repräsentiert, die verstreichen sollen und nach denen das DRAM auf einen Operations-Code antwortet, wobei der externe Bus auf das programmierbare Zugriffszeit-Register über die Kopplungsmittel zugreifen kann, und Daten zu dem programmierbaren Zugriffszeit-Register über den externen Bus übertragen werden, um den Wert in dem programmierbaren Zugriffszeit-Register zu setzen, Leseverstärker, welche mit dem Speicherfeld gekoppelt sind, um Daten aus den dynamischen Speicherzellen auszulesen, eine Mehrzahl von Eingangsempfängern, um den Operations-Code synchron in Bezug auf einen ersten Pegelwechsel des externen Taktsignals abzutasten, wobei der Operations-Code die Speichervorrichtung dazu anweist: einen Lesevorgang auszuführen, so dass die Speichervorrichtung die Daten, die von den Leseverstärkern gelesen wurden, ausgibt, und die Leseverstärker automatisch voraufzuladen, nachdem die Daten gelesen sind, und eine Mehrzahl von Ausgabe-Treibern (76) zum Ausgeben der Daten auf den externen Bus (18, 65) als Antwort auf den Operations-Code, wobei die Ausgabe-Treiber (76) die Daten, nachdem die Anzahl von Taktzyklen des externen Taktsignals abgelaufen ist, auf den externen Bus (18, 65) und synchron in Bezug auf das externe Taktsignal (53, 54) ausgeben, so dass der Empfang des Operations-Codes und die korrespondierende Antwort um die Anzahl von Taktzyklen getrennt sind, wie sie durch den in dem programmierbaren Zugriffszeit-Register gespeicherten Wert gewählt wurde, wobei jeder Ausgabe-Treiber aus der Mehrzahl von Ausgabe-Treibern (76) die Daten auf den externen Bus (18, 65) mit einer Buszyklus-Datenrate ausgibt, die das Zweifache der Rate des externen Taktsignals ist.
  2. DRAM nach Anspruch 1, wobei die Mehrzahl von Eingangsempfängern einen ersten Eingangsempfänger beinhaltet, um ein Bit des Operations-Codes abzutasten, wobei das Bit anzeigt, dass die Leseverstärker automatisch voraufzuladen sind, nachdem die Daten gelesen wurden.
  3. DRAM nach einem der vorstehenden Ansprüche, wobei die Mehrzahl von Eingangsempfängern Eingangsempfänger beinhaltet, um Blockgrößeninformation synchron mit dem externen Taktsignal abzutasten, wobei die Blockgrößeninformation eine Datenmenge repräsentiert, die von der Speichervorrichtung als Antwort auf den Operations-Code auszugeben ist.
  4. DRAM nach einem der vorstehenden Ansprüche, ferner umfassend: einen internen Takterzeugungsschaltkreis der Vorrichtung, der mit der Taktempfängerschaltung gekoppelt ist, um ein internes Taktsignal zu erzeugen, welches verwendet wird, um Daten als Antwort auf den Operations-Code auszugeben, wobei der interne Takterzeugungsschaltkreis der Vorrichtung eine zeitliche Beziehung zwischen den auszugebenden Daten und dem externen Taktsignal überwacht und steuert.
  5. DRAM nach Anspruch 4, wobei der interne Takterzeugungsschaltkreis der Vorrichtung vorgesehen ist, um ein komplementäres internes Taktsignal zu erzeugen, wobei das interne Taktsignal und das komplementäre interne Taktsignal verwendet werden, um zu selektieren, welche Daten der Mehrzahl von Ausgabe-Treibern zugeführt werden.
  6. DRAM nach Anspruch 5, wobei die Mehrzahl von Ausgabe-Treibern (76) einen ersten Abschnitt der Daten als Antwort auf eine steigende Flanke eines Pegelwechsels des internen Taktsignals ausgibt.
  7. DRAM nach einem der Ansprüche 4, 5 oder 6, wobei der interne Takterzeugungsschaltkreis der Vorrichtung eine Rückkopplungsleitung verwendet, um eine variable Verzögerungsleitung beim Erzeugen des internen Taktsignals einzustellen.
DE69133550T 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle Expired - Lifetime DE69133550T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51089890A 1990-04-18 1990-04-18
US510898 1990-04-18

Publications (2)

Publication Number Publication Date
DE69133550D1 DE69133550D1 (de) 2006-11-16
DE69133550T2 true DE69133550T2 (de) 2007-01-11

Family

ID=24032637

Family Applications (15)

Application Number Title Priority Date Filing Date
DE69133598T Expired - Lifetime DE69133598D1 (de) 1990-04-18 1991-04-16 Integrierte E/A-Schaltung, die eine Hochleistungsbusschnittstelle benutzt
DE69133500T Expired - Lifetime DE69133500T2 (de) 1990-04-18 1991-04-16 DRAM Halbleitervorrichtung
DE69133572T Expired - Lifetime DE69133572T2 (de) 1990-04-18 1991-04-16 Halbleiterbauelement mit dynamischem Arbeitsspeicher (DRAM)
DE06125954T Pending DE06125954T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69133550T Expired - Lifetime DE69133550T2 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE1022642T Pending DE1022642T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69133565.6T Expired - Lifetime DE69133565T3 (de) 1990-04-18 1991-04-16 System mit einer Vielzahl von DRAMS und einem Bus
DE06125958T Pending DE06125958T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE00100018T Pending DE00100018T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69132501T Expired - Lifetime DE69132501T3 (de) 1990-04-18 1991-04-16 Methode zum Betrieb eines synchronen Speichers mit einer variablen Länge der Ausgabedaten
DE02000378T Pending DE02000378T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE06125946T Pending DE06125946T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69132721T Expired - Lifetime DE69132721T2 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69133611T Expired - Lifetime DE69133611D1 (de) 1990-04-18 1991-04-16 Integrierte E/A-Schaltung, die eine Hochleistungsbusschnittstelle benutzt
DE69132121T Revoked DE69132121T2 (de) 1990-04-18 1991-04-16 Halbleiterspeichervorrichtung

Family Applications Before (4)

Application Number Title Priority Date Filing Date
DE69133598T Expired - Lifetime DE69133598D1 (de) 1990-04-18 1991-04-16 Integrierte E/A-Schaltung, die eine Hochleistungsbusschnittstelle benutzt
DE69133500T Expired - Lifetime DE69133500T2 (de) 1990-04-18 1991-04-16 DRAM Halbleitervorrichtung
DE69133572T Expired - Lifetime DE69133572T2 (de) 1990-04-18 1991-04-16 Halbleiterbauelement mit dynamischem Arbeitsspeicher (DRAM)
DE06125954T Pending DE06125954T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle

Family Applications After (10)

Application Number Title Priority Date Filing Date
DE1022642T Pending DE1022642T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69133565.6T Expired - Lifetime DE69133565T3 (de) 1990-04-18 1991-04-16 System mit einer Vielzahl von DRAMS und einem Bus
DE06125958T Pending DE06125958T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE00100018T Pending DE00100018T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69132501T Expired - Lifetime DE69132501T3 (de) 1990-04-18 1991-04-16 Methode zum Betrieb eines synchronen Speichers mit einer variablen Länge der Ausgabedaten
DE02000378T Pending DE02000378T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE06125946T Pending DE06125946T1 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69132721T Expired - Lifetime DE69132721T2 (de) 1990-04-18 1991-04-16 Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
DE69133611T Expired - Lifetime DE69133611D1 (de) 1990-04-18 1991-04-16 Integrierte E/A-Schaltung, die eine Hochleistungsbusschnittstelle benutzt
DE69132121T Revoked DE69132121T2 (de) 1990-04-18 1991-04-16 Halbleiterspeichervorrichtung

Country Status (7)

Country Link
US (47) US5499385A (de)
EP (7) EP1022642B1 (de)
JP (3) JP3414393B2 (de)
KR (1) KR100201057B1 (de)
DE (15) DE69133598D1 (de)
IL (4) IL96808A (de)
WO (1) WO1991016680A1 (de)

Families Citing this family (743)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960003526B1 (ko) 1992-10-02 1996-03-14 삼성전자주식회사 반도체 메모리장치
US5093807A (en) 1987-12-23 1992-03-03 Texas Instruments Incorporated Video frame storage system
US5587962A (en) * 1987-12-23 1996-12-24 Texas Instruments Incorporated Memory circuit accommodating both serial and random access including an alternate address buffer register
GB9007791D0 (en) * 1990-04-06 1990-06-06 Foss Richard C High voltage boosted wordline supply charge pump and regulator for dram
USRE40552E1 (en) 1990-04-06 2008-10-28 Mosaid Technologies, Inc. Dynamic random access memory using imperfect isolating transistors
GB9007790D0 (en) * 1990-04-06 1990-06-06 Lines Valerie L Dynamic memory wordline driver scheme
US6751696B2 (en) * 1990-04-18 2004-06-15 Rambus Inc. Memory device having a programmable register
US6324120B2 (en) * 1990-04-18 2001-11-27 Rambus Inc. Memory device having a variable data output length
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
DE9117296U1 (de) 1990-04-18 2000-04-06 Rambus Inc Integrierte E/A-Schaltung unter Verwendung einer Hochleistungs-Bus-Schnittstelle
US6249481B1 (en) 1991-10-15 2001-06-19 Kabushiki Kaisha Toshiba Semiconductor memory device
US6223264B1 (en) * 1991-10-24 2001-04-24 Texas Instruments Incorporated Synchronous dynamic random access memory and data processing system using an address select signal
US5498990A (en) * 1991-11-05 1996-03-12 Monolithic System Technology, Inc. Reduced CMOS-swing clamping circuit for bus lines
US5471632A (en) * 1992-01-10 1995-11-28 Digital Equipment Corporation System for transferring data between a processor and a system bus including a device which packs, unpacks, or buffers data blocks being transferred
US5715407A (en) * 1992-03-06 1998-02-03 Rambus, Inc. Process and apparatus for collision detection on a parallel bus by monitoring a first line of the bus during even bus cycles for indications of overlapping packets
USRE39879E1 (en) * 1992-03-06 2007-10-09 Rambus, Inc. Method of transferring data by transmitting lower order and upper order memory address bits in separate words with respective op codes and start information
DE4345604B3 (de) * 1992-03-06 2012-07-12 Rambus Inc. Vorrichtung zur Kommunikation mit einem DRAM
JP2868141B2 (ja) * 1992-03-16 1999-03-10 株式会社日立製作所 ディスクアレイ装置
US5254883A (en) * 1992-04-22 1993-10-19 Rambus, Inc. Electrical current source circuitry for a bus
USRE38482E1 (en) * 1992-05-28 2004-03-30 Rambus Inc. Delay stage circuitry for a ring oscillator
US5485490A (en) * 1992-05-28 1996-01-16 Rambus, Inc. Method and circuitry for clock synchronization
DE69331061T2 (de) * 1992-08-10 2002-06-06 Monolithic System Tech Inc Fehlertolerantes hierarchisiertes Bussystem
EP0591695B1 (de) * 1992-09-18 1998-02-11 Hitachi, Ltd. Rechenanlage mit synchronem, dynamischem Speicher
US6279116B1 (en) 1992-10-02 2001-08-21 Samsung Electronics Co., Ltd. Synchronous dynamic random access memory devices that utilize clock masking signals to control internal clock signal generation
US5511024A (en) * 1993-06-02 1996-04-23 Rambus, Inc. Dynamic random access memory system
US5420987A (en) * 1993-07-19 1995-05-30 3 Com Corporation Method and apparatus for configuring a selected adapter unit on a common bus in the presence of other adapter units
JP3579461B2 (ja) 1993-10-15 2004-10-20 株式会社ルネサステクノロジ データ処理システム及びデータ処理装置
US5469435A (en) * 1994-01-25 1995-11-21 Apple Computer, Inc. Bus deadlock avoidance during master split-transactions
US5631734A (en) 1994-02-10 1997-05-20 Affymetrix, Inc. Method and apparatus for detection of fluorescently labeled materials
EP1005010A3 (de) 1994-03-16 2001-10-24 Brooktree Corporation Datenverarbeitungsverfahren in einem Multimedia-grafischen System
GB9406477D0 (en) * 1994-03-31 1994-05-25 D2B Systems Co Ltd Interconnection of local communication bus systems
US5655113A (en) * 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
US5508968A (en) * 1994-08-12 1996-04-16 International Business Machines Corporation Dynamic random access memory persistent page implemented as processor register sets
US5796673A (en) 1994-10-06 1998-08-18 Mosaid Technologies Incorporated Delay locked loop implementation in a synchronous dynamic random access memory
US5568651A (en) * 1994-11-03 1996-10-22 Digital Equipment Corporation Method for detection of configuration types and addressing modes of a dynamic RAM
US5715437A (en) 1994-11-10 1998-02-03 Brooktree Corporation System for, and method of, processing in hardware commands received from software without polling of the hardware by the software
JPH08278916A (ja) * 1994-11-30 1996-10-22 Hitachi Ltd マルチチャネルメモリシステム、転送情報同期化方法及び信号転送回路
US5717931A (en) * 1994-12-20 1998-02-10 Motorola, Inc. Method and apparatus for communicating between master and slave electronic devices where the slave device may be hazardous
US5606710A (en) * 1994-12-20 1997-02-25 National Semiconductor Corporation Multiple chip package processor having feed through paths on one die
US5699516A (en) * 1994-12-22 1997-12-16 Motorola, Inc. Method and apparatus for implementing a in-order termination bus protocol within a data processing system
US5889698A (en) 1995-01-31 1999-03-30 Hitachi, Ltd. Nonvolatile memory device and refreshing method
JP4341043B2 (ja) * 1995-03-06 2009-10-07 真彦 久野 I/o拡張装置,外部記憶装置,この外部記憶装置へのアクセス方法及び装置
US5592123A (en) * 1995-03-07 1997-01-07 Linfinity Microelectronics, Inc. Frequency stability bootstrapped current mirror
US5737748A (en) * 1995-03-15 1998-04-07 Texas Instruments Incorporated Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory
DE69622079T2 (de) * 1995-03-31 2002-10-31 Sun Microsystems Inc Verfahren und Vorrichtung zur schnellen Einleitung von Speicherzugriffen in einem cachekohärenten Multiprozessorsystem
US5822341A (en) * 1995-04-06 1998-10-13 Advanced Hardware Architectures, Inc. Multiport RAM for use within a viterbi decoder
US5608312A (en) * 1995-04-17 1997-03-04 Linfinity Microelectronics, Inc. Source and sink voltage regulator for terminators
US5635852A (en) * 1995-04-17 1997-06-03 Linfinity Microelectronics, Inc. Controllable actice terminator for a computer bus
US5787267A (en) * 1995-06-07 1998-07-28 Monolithic System Technology, Inc. Caching method and circuit for a memory system with circuit module architecture
JP2630311B2 (ja) * 1995-06-15 1997-07-16 日本電気株式会社 半導体集積回路装置
US5748920A (en) * 1995-06-23 1998-05-05 Cirrus Logic, Inc. Transaction queue in a graphics controller chip
EP0752666A3 (de) * 1995-07-06 2004-04-28 Sun Microsystems, Inc. Verfahren und Vorrichtung zur Beschleunigung von Sklave-Anforderungen in einem paketvermittelten Computersystem
US5742840A (en) * 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US5537353A (en) * 1995-08-31 1996-07-16 Cirrus Logic, Inc. Low pin count-wide memory devices and systems and methods using the same
US5752076A (en) * 1995-08-31 1998-05-12 Intel Corporation Dynamic programming of bus master channels by intelligent peripheral devices using communication packets
US6025840A (en) * 1995-09-27 2000-02-15 Cirrus Logic, Inc. Circuits, systems and methods for memory mapping and display control systems using the same
US5895480A (en) * 1995-10-10 1999-04-20 Holtek Microelectronics, Inc. Method of and means for accessing an address by respectively substracting base addresses of memory integrated circuits from an access address
US5748914A (en) * 1995-10-19 1998-05-05 Rambus, Inc. Protocol for communication with dynamic memory
US6810449B1 (en) 1995-10-19 2004-10-26 Rambus, Inc. Protocol for communication with dynamic memory
US6035369A (en) 1995-10-19 2000-03-07 Rambus Inc. Method and apparatus for providing a memory with write enable information
US6470405B2 (en) 1995-10-19 2002-10-22 Rambus Inc. Protocol for communication with dynamic memory
US5636174A (en) * 1996-01-11 1997-06-03 Cirrus Logic, Inc. Fast cycle time-low latency dynamic random access memories and systems and methods using the same
US5944807A (en) 1996-02-06 1999-08-31 Opti Inc. Compact ISA-bus interface
US5815673A (en) * 1996-03-01 1998-09-29 Samsung Electronics Co., Ltd. Method and apparatus for reducing latency time on an interface by overlapping transmitted packets
DE69625327D1 (de) * 1996-03-20 2003-01-23 St Microelectronics Srl Zeitzuteilender interner Bus, insbesondere für nichtflüchtige Speicher
US5911051A (en) * 1996-03-29 1999-06-08 Intel Corporation High-throughput interconnect allowing bus transactions based on partial access requests
US6317803B1 (en) 1996-03-29 2001-11-13 Intel Corporation High-throughput interconnect having pipelined and non-pipelined bus transaction modes
US5872940A (en) * 1996-04-01 1999-02-16 Motorola, Inc. Programmable read/write access signal and method therefor
US5906003A (en) * 1996-04-17 1999-05-18 Cirrus Logic, Inc. Memory device with an externally selectable-width I/O port and systems and methods using the same
US5838631A (en) 1996-04-19 1998-11-17 Integrated Device Technology, Inc. Fully synchronous pipelined ram
US5829016A (en) * 1996-04-24 1998-10-27 Cirrus Logic, Inc. Memory system with multiplexed input-output port and systems and methods using the same
US5835965A (en) * 1996-04-24 1998-11-10 Cirrus Logic, Inc. Memory system with multiplexed input-output port and memory mapping capability
US6209071B1 (en) * 1996-05-07 2001-03-27 Rambus Inc. Asynchronous request/synchronous data dynamic random access memory
US6009487A (en) * 1996-05-31 1999-12-28 Rambus Inc. Method and apparatus for setting a current of an output driver for the high speed bus
US5857083A (en) * 1996-06-07 1999-01-05 Yamaha Corporation Bus interfacing device for interfacing a secondary peripheral bus with a system having a host CPU and a primary peripheral bus
US5815456A (en) * 1996-06-19 1998-09-29 Cirrus Logic, Inc. Multibank -- multiport memories and systems and methods using the same
US5845098A (en) * 1996-06-24 1998-12-01 Motorola Inc. Address lines load reduction
US5901293A (en) * 1996-06-25 1999-05-04 Claxton; Daniel Dean Bus interface controller for serially-accessed variable-access-time memory device
US5734661A (en) * 1996-09-20 1998-03-31 Micron Technology, Inc. Method and apparatus for providing external access to internal integrated circuit test circuits
US5870616A (en) * 1996-10-04 1999-02-09 International Business Machines Corporation System and method for reducing power consumption in an electronic circuit
DE19758672B4 (de) * 1996-10-09 2004-07-15 Fujitsu Ltd., Kawasaki Vorrichtung zum Übertragen von Signalen
US5872736A (en) * 1996-10-28 1999-02-16 Micron Technology, Inc. High speed input buffer
US5917758A (en) * 1996-11-04 1999-06-29 Micron Technology, Inc. Adjustable output driver circuit
US5774135A (en) * 1996-11-05 1998-06-30 Vlsi, Technology, Inc. Non-contiguous memory location addressing scheme
US6076127A (en) * 1996-11-06 2000-06-13 International Business Machines Corporation Configuration of a single point bus arbitration scheme using on-chip arbiters
US5915102A (en) * 1996-11-06 1999-06-22 International Business Machines Corporation Common arbiter interface device with arbitration configuration for centralized common bus arbitration
US5949254A (en) * 1996-11-26 1999-09-07 Micron Technology, Inc. Adjustable output driver circuit
US6115318A (en) * 1996-12-03 2000-09-05 Micron Technology, Inc. Clock vernier adjustment
JP3177464B2 (ja) * 1996-12-12 2001-06-18 株式会社日立製作所 入出力回路セル及び半導体集積回路装置
US5838177A (en) * 1997-01-06 1998-11-17 Micron Technology, Inc. Adjustable output driver circuit having parallel pull-up and pull-down elements
US5894586A (en) * 1997-01-23 1999-04-13 Xionics Document Technologies, Inc. System for providing access to memory in which a second processing unit is allowed to access memory during a time slot assigned to a first processing unit
US6125157A (en) * 1997-02-06 2000-09-26 Rambus, Inc. Delay-locked loop circuitry for clock delay adjustment
US5953263A (en) * 1997-02-10 1999-09-14 Rambus Inc. Synchronous memory device having a programmable register and method of controlling same
US6104209A (en) 1998-08-27 2000-08-15 Micron Technology, Inc. Low skew differential receiver with disable feature
US5920518A (en) * 1997-02-11 1999-07-06 Micron Technology, Inc. Synchronous clock generator including delay-locked loop
US5940608A (en) * 1997-02-11 1999-08-17 Micron Technology, Inc. Method and apparatus for generating an internal clock signal that is synchronized to an external clock signal
US6230245B1 (en) 1997-02-11 2001-05-08 Micron Technology, Inc. Method and apparatus for generating a variable sequence of memory device command signals
US5987576A (en) * 1997-02-27 1999-11-16 Hewlett-Packard Company Method and apparatus for generating and distributing clock signals with minimal skew
US5977798A (en) * 1997-02-28 1999-11-02 Rambus Incorporated Low-latency small-swing clocked receiver
US5956502A (en) * 1997-03-05 1999-09-21 Micron Technology, Inc. Method and circuit for producing high-speed counts
US5946244A (en) 1997-03-05 1999-08-31 Micron Technology, Inc. Delay-locked loop with binary-coupled capacitor
US6175894B1 (en) 1997-03-05 2001-01-16 Micron Technology, Inc. Memory device command buffer apparatus and method and memory devices and computer systems using same
US5898638A (en) * 1997-03-11 1999-04-27 Micron Technology, Inc. Latching wordline driver for multi-bank memory
US5870347A (en) 1997-03-11 1999-02-09 Micron Technology, Inc. Multi-bank memory input/output line selection
US6088761A (en) * 1997-03-31 2000-07-11 Sun Microsystems, Inc. Reduced pin system interface
US5896404A (en) * 1997-04-04 1999-04-20 International Business Machines Corporation Programmable burst length DRAM
US5831929A (en) * 1997-04-04 1998-11-03 Micron Technology, Inc. Memory device with staggered data paths
JP3189727B2 (ja) 1997-04-15 2001-07-16 日本電気株式会社 コプロセッサ内蔵パケット型メモリlsi、それを用いたメモリシステム及びそれらの制御方法
WO1998054727A2 (en) 1997-05-30 1998-12-03 Micron Technology, Inc. 256 Meg DYNAMIC RANDOM ACCESS MEMORY
TW378330B (en) 1997-06-03 2000-01-01 Fujitsu Ltd Semiconductor memory device
US6215192B1 (en) 1997-06-12 2001-04-10 Matsushita Electric Industrial Co., Ltd. Integrated circuit package and integrated circuit package control system
US5996043A (en) 1997-06-13 1999-11-30 Micron Technology, Inc. Two step memory device command buffer apparatus and method and memory devices and computer systems using same
US6014759A (en) * 1997-06-13 2000-01-11 Micron Technology, Inc. Method and apparatus for transferring test data from a memory array
US6115823A (en) 1997-06-17 2000-09-05 Amphus, Inc. System and method for task performance based dynamic distributed power management in a computer system and design method therefor
US5987614A (en) * 1997-06-17 1999-11-16 Vadem Distributed power management system and method for computer
US6484244B1 (en) 1997-06-17 2002-11-19 Micron Technology, Inc. Method and system for storing and processing multiple memory commands
US6266379B1 (en) 1997-06-20 2001-07-24 Massachusetts Institute Of Technology Digital transmitter with equalization
US6173432B1 (en) 1997-06-20 2001-01-09 Micron Technology, Inc. Method and apparatus for generating a sequence of clock signals
KR100213241B1 (ko) * 1997-06-23 1999-08-02 윤종용 데이터 입출력 회로 및 데이터 입출력 방법
US6286062B1 (en) 1997-07-01 2001-09-04 Micron Technology, Inc. Pipelined packet-oriented memory system having a unidirectional command and address bus and a bidirectional data bus
US5953284A (en) * 1997-07-09 1999-09-14 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing of a clock signal used to latch digital signals, and memory device using same
US6044429A (en) 1997-07-10 2000-03-28 Micron Technology, Inc. Method and apparatus for collision-free data transfers in a memory device with selectable data or address paths
US5978869A (en) * 1997-07-21 1999-11-02 International Business Machines Corporation Enhanced dual speed bus computer system
US6011732A (en) * 1997-08-20 2000-01-04 Micron Technology, Inc. Synchronous clock generator including a compound delay-locked loop
US6044413A (en) * 1997-08-22 2000-03-28 Hewlett-Packard Company Method of concurrent bus operation for bus controlled devices operating in different contexts
US5940609A (en) * 1997-08-29 1999-08-17 Micorn Technology, Inc. Synchronous clock generator including a false lock detector
US5926047A (en) 1997-08-29 1999-07-20 Micron Technology, Inc. Synchronous clock generator including a delay-locked loop signal loss detector
US6101197A (en) * 1997-09-18 2000-08-08 Micron Technology, Inc. Method and apparatus for adjusting the timing of signals over fine and coarse ranges
US6119189A (en) * 1997-09-24 2000-09-12 Intel Corporation Bus master transactions on a low pin count bus
US5991841A (en) * 1997-09-24 1999-11-23 Intel Corporation Memory transactions on a low pin count bus
US6157970A (en) * 1997-09-24 2000-12-05 Intel Corporation Direct memory access system using time-multiplexing for transferring address, data, and control and a separate control line for serially transmitting encoded DMA channel number
US6131127A (en) * 1997-09-24 2000-10-10 Intel Corporation I/O transactions on a low pin count bus
US6067594A (en) * 1997-09-26 2000-05-23 Rambus, Inc. High frequency bus system
US9092595B2 (en) 1997-10-08 2015-07-28 Pact Xpp Technologies Ag Multiprocessor having associated RAM units
US6133773A (en) * 1997-10-10 2000-10-17 Rambus Inc Variable delay element
US6401167B1 (en) * 1997-10-10 2002-06-04 Rambus Incorporated High performance cost optimized memory
US6513103B1 (en) * 1997-10-10 2003-01-28 Rambus Inc. Method and apparatus for adjusting the performance of a synchronous memory system
US6343352B1 (en) 1997-10-10 2002-01-29 Rambus Inc. Method and apparatus for two step memory write operations
US6347354B1 (en) * 1997-10-10 2002-02-12 Rambus Incorporated Apparatus and method for maximizing information transfers over limited interconnect resources
AU9693398A (en) * 1997-10-10 1999-05-03 Rambus Incorporated Apparatus and method for pipelined memory operations
AU9604598A (en) * 1997-10-10 1999-05-03 Rambus Incorporated Apparatus and method for device timing compensation
US6009488A (en) * 1997-11-07 1999-12-28 Microlinc, Llc Computer having packet-based interconnect channel
KR100278650B1 (ko) * 1997-11-07 2001-03-02 윤종용 패킷방식의명령을사용하는반도체메모리장치
US6134630A (en) 1997-11-14 2000-10-17 3Ware High-performance bus architecture for disk array system
US6098114A (en) * 1997-11-14 2000-08-01 3Ware Disk array system for processing and tracking the completion of I/O requests
US6138176A (en) * 1997-11-14 2000-10-24 3Ware Disk array controller with automated processor which routes I/O data according to addresses and commands received from disk drive controllers
US6965974B1 (en) * 1997-11-14 2005-11-15 Agere Systems Inc. Dynamic partitioning of memory banks among multiple agents
US6078891A (en) * 1997-11-24 2000-06-20 Riordan; John Method and system for collecting and processing marketing data
CA2223119A1 (en) * 1997-11-28 1999-05-28 Mosaid Technologies Incorporated Address counter cell
KR100261218B1 (ko) * 1997-12-08 2000-07-01 윤종용 반도체 메모리 장치의 핀 어사인먼트 방법 및 패킷 단위의 신호를 입력으로 하는 반도체 메모리장치
US6202119B1 (en) 1997-12-19 2001-03-13 Micron Technology, Inc. Method and system for processing pipelined memory commands
KR100252057B1 (ko) * 1997-12-30 2000-05-01 윤종용 단일 및 이중 데이터 율 겸용 반도체 메모리 장치
KR100272503B1 (ko) 1998-01-26 2000-11-15 김영환 고속테스트기능의램버스주문형집적회로및그를이용한테스트방법
GB9801654D0 (en) * 1998-01-26 1998-03-25 Memory Corp Plc Memory system
US6047346A (en) * 1998-02-02 2000-04-04 Rambus Inc. System for adjusting slew rate on an output of a drive circuit by enabling a plurality of pre-drivers and a plurality of output drivers
US5936877A (en) 1998-02-13 1999-08-10 Micron Technology, Inc. Die architecture accommodating high-speed semiconductor devices
US5923594A (en) * 1998-02-17 1999-07-13 Micron Technology, Inc. Method and apparatus for coupling data from a memory device using a single ended read data path
US6115320A (en) 1998-02-23 2000-09-05 Integrated Device Technology, Inc. Separate byte control on fully synchronous pipelined SRAM
JP2001522501A (ja) * 1998-02-25 2001-11-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ スレーブ群インターフェース装置を介して周辺機器をバスにインターフェースする方法
US6269451B1 (en) 1998-02-27 2001-07-31 Micron Technology, Inc. Method and apparatus for adjusting data timing by delaying clock signal
US6314527B1 (en) 1998-03-05 2001-11-06 Micron Technology, Inc. Recovery of useful areas of partially defective synchronous memory components
US6212482B1 (en) 1998-03-06 2001-04-03 Micron Technology, Inc. Circuit and method for specifying performance parameters in integrated circuits
US6154821A (en) * 1998-03-10 2000-11-28 Rambus Inc. Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain
US6160423A (en) 1998-03-16 2000-12-12 Jazio, Inc. High speed source synchronous signaling for interfacing VLSI CMOS circuits to transmission lines
US6327205B1 (en) 1998-03-16 2001-12-04 Jazio, Inc. Signal latching of high bandwidth DRAM arrays when skew between different components is higher than signal rate
GB2352375B (en) 1998-03-16 2003-06-04 Jazio Inc High speed signaling for interfacing VLSI CMOS circuits
JP3259679B2 (ja) * 1998-03-23 2002-02-25 日本電気株式会社 半導体メモリバーンインテスト回路
US6122698A (en) * 1998-04-16 2000-09-19 Samsung Electronics Co., Ltd Data bus having conducting lines driven at multiple adjustable current levels to transfer multiple-bit data on each conducting line
US6456628B1 (en) * 1998-04-17 2002-09-24 Intelect Communications, Inc. DSP intercommunication network
US6381708B1 (en) 1998-04-28 2002-04-30 Micron Technology, Inc. Method for decoding addresses for a defective memory array
US6381707B1 (en) 1998-04-28 2002-04-30 Micron Technology, Inc. System for decoding addresses for a defective memory array
JPH11316617A (ja) 1998-05-01 1999-11-16 Mitsubishi Electric Corp 半導体回路装置
US6216185B1 (en) 1998-05-01 2001-04-10 Acqis Technology, Inc. Personal computer peripheral console with attached computer module
US6345330B2 (en) 1998-05-01 2002-02-05 Acqis Technology, Inc. Communication channel and interface devices for bridging computer interface buses
US6275782B1 (en) * 1998-05-05 2001-08-14 Advanced Micro Devices, Inc. Non-intrusive performance monitoring
JP4226686B2 (ja) 1998-05-07 2009-02-18 株式会社東芝 半導体メモリシステム及び半導体メモリのアクセス制御方法及び半導体メモリ
JP3727778B2 (ja) 1998-05-07 2005-12-14 株式会社東芝 データ高速転送同期システム及びデータ高速転送同期方法
US6016282A (en) * 1998-05-28 2000-01-18 Micron Technology, Inc. Clock vernier adjustment
US6496945B2 (en) * 1998-06-04 2002-12-17 Compaq Information Technologies Group, L.P. Computer system implementing fault detection and isolation using unique identification codes stored in non-volatile memory
US6405280B1 (en) 1998-06-05 2002-06-11 Micron Technology, Inc. Packet-oriented synchronous DRAM interface supporting a plurality of orderings for data block transfers within a burst sequence
US6453377B1 (en) 1998-06-16 2002-09-17 Micron Technology, Inc. Computer including optical interconnect, memory unit, and method of assembling a computer
US6615189B1 (en) * 1998-06-22 2003-09-02 Bank One, Delaware, National Association Debit purchasing of stored value card for use by and/or delivery to others
US6505276B1 (en) 1998-06-26 2003-01-07 Nec Corporation Processing-function-provided packet-type memory system and method for controlling the same
KR100292625B1 (ko) * 1998-06-29 2001-07-12 박종섭 고속인터페이스장치
US20010026533A1 (en) * 1998-07-06 2001-10-04 Andreas Schwager Method to perform a scheduled action of network devices
US6510503B2 (en) * 1998-07-27 2003-01-21 Mosaid Technologies Incorporated High bandwidth memory interface
US6175905B1 (en) 1998-07-30 2001-01-16 Micron Technology, Inc. Method and system for bypassing pipelines in a pipelined memory command generator
KR100306965B1 (ko) * 1998-08-07 2001-11-30 윤종용 동기형반도체메모리장치의데이터전송회로
US6282210B1 (en) 1998-08-12 2001-08-28 Staktek Group L.P. Clock driver with instantaneously selectable phase and method for use in data communication systems
KR100295051B1 (ko) * 1998-08-20 2001-07-12 윤종용 반도체메모리장치의입력버퍼및입력버퍼링방법
US6285962B1 (en) * 1998-08-26 2001-09-04 Tanisys Technology, Inc. Method and system for testing rambus memory modules
US6338127B1 (en) 1998-08-28 2002-01-08 Micron Technology, Inc. Method and apparatus for resynchronizing a plurality of clock signals used to latch respective digital signals, and memory device using same
US6219237B1 (en) 1998-08-31 2001-04-17 Micron Technology, Inc. Structure and method for an electronic assembly
US6424034B1 (en) 1998-08-31 2002-07-23 Micron Technology, Inc. High performance packaging for microprocessors and DRAM chips which minimizes timing skews
US6392296B1 (en) 1998-08-31 2002-05-21 Micron Technology, Inc. Silicon interposer with optical connections
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6586835B1 (en) * 1998-08-31 2003-07-01 Micron Technology, Inc. Compact system module with built-in thermoelectric cooling
US6349399B1 (en) 1998-09-03 2002-02-19 Micron Technology, Inc. Method and apparatus for generating expect data from a captured bit pattern, and memory device using same
US6279090B1 (en) 1998-09-03 2001-08-21 Micron Technology, Inc. Method and apparatus for resynchronizing a plurality of clock signals used in latching respective digital signals applied to a packetized memory device
US6374376B1 (en) * 1998-09-03 2002-04-16 Micron Technology, Inc. Circuit, system and method for arranging data output by semiconductor testers to packet-based devices under test
US6029250A (en) * 1998-09-09 2000-02-22 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing offset between a clock signal and digital signals transmitted coincident with that clock signal, and memory device and system using same
US6633947B1 (en) * 1998-09-16 2003-10-14 Intel Corporation Memory expansion channel for propagation of control and request packets
US6587912B2 (en) * 1998-09-30 2003-07-01 Intel Corporation Method and apparatus for implementing multiple memory buses on a memory module
US6321335B1 (en) 1998-10-30 2001-11-20 Acqis Technology, Inc. Password protected modular computer method and device
KR100275751B1 (ko) * 1998-11-09 2000-12-15 윤종용 구조가 간단한 반도체 메모리 장치
US6430696B1 (en) 1998-11-30 2002-08-06 Micron Technology, Inc. Method and apparatus for high speed data capture utilizing bit-to-bit timing correction, and memory device using same
US6041016A (en) * 1998-12-04 2000-03-21 Intel Corporation Optimizing page size in mixed memory array using address multiplexing
US6374360B1 (en) 1998-12-11 2002-04-16 Micron Technology, Inc. Method and apparatus for bit-to-bit timing correction of a high speed memory bus
KR100327330B1 (ko) 1998-12-17 2002-05-09 윤종용 램버스디램반도체장치
US6496876B1 (en) 1998-12-21 2002-12-17 Micron Technology, Inc. System and method for storing a tag to identify a functional storage location in a memory device
US6347350B1 (en) 1998-12-22 2002-02-12 Intel Corporation Driving the last inbound signal on a line in a bus with a termination
US6738844B2 (en) * 1998-12-23 2004-05-18 Intel Corporation Implementing termination with a default signal on a bus line
US6463494B1 (en) * 1998-12-30 2002-10-08 Intel Corporation Method and system for implementing control signals on a low pin count bus
US6457094B2 (en) * 1999-01-22 2002-09-24 Winbond Electronics Corporation Memory array architecture supporting block write operation
US6078532A (en) * 1999-02-01 2000-06-20 Cisco Technology Inc. Method and apparatus for improving performance of DRAM subsystems with SRAM overlays
US6255852B1 (en) 1999-02-09 2001-07-03 Micron Technology, Inc. Current mode signal interconnects and CMOS amplifier
GB2346990B (en) 1999-02-20 2003-07-09 Ibm Client/server transaction data processing system with automatic distributed coordinator set up into a linear chain for use of linear commit optimization
US6470060B1 (en) 1999-03-01 2002-10-22 Micron Technology, Inc. Method and apparatus for generating a phase dependent control signal
US6334163B1 (en) * 1999-03-05 2001-12-25 International Business Machines Corp. Elastic interface apparatus and method therefor
US6330635B1 (en) 1999-04-16 2001-12-11 Intel Corporation Multiple user interfaces for an integrated flash device
US6381684B1 (en) 1999-04-26 2002-04-30 Integrated Device Technology, Inc. Quad data rate RAM
EP1093126B1 (de) * 1999-04-27 2006-07-12 Seiko Epson Corporation Integrierte schaltung
US6426984B1 (en) * 1999-05-07 2002-07-30 Rambus Incorporated Apparatus and method for reducing clock signal phase skew in a master-slave system with multiple latent clock cycles
US6718415B1 (en) 1999-05-14 2004-04-06 Acqis Technology, Inc. Computer system and method including console housing multiple computer modules having independent processing units, mass storage devices, and graphics controllers
US6643777B1 (en) 1999-05-14 2003-11-04 Acquis Technology, Inc. Data security method and device for computer modules
KR100594198B1 (ko) * 1999-05-14 2006-07-03 삼성전자주식회사 다중채널 램버스 시스템
GB9912129D0 (en) * 1999-05-26 1999-07-28 3Com Corp Communication device with forwarding database having having a trie search facility
US6150845A (en) * 1999-06-01 2000-11-21 Fairchild Semiconductor Corp. Bus hold circuit with overvoltage tolerance
US6433786B1 (en) * 1999-06-10 2002-08-13 Intel Corporation Memory architecture for video graphics environment
JP2003505753A (ja) 1999-06-10 2003-02-12 ペーアーツェーテー インフォルマツィオーンステヒノロギー ゲゼルシャフト ミット ベシュレンクテル ハフツング セル構造におけるシーケンス分割方法
US6211698B1 (en) 1999-06-29 2001-04-03 Hyundai Electronics Industries Co., Ltd. High speed interface apparatus
US7069406B2 (en) 1999-07-02 2006-06-27 Integrated Device Technology, Inc. Double data rate synchronous SRAM with 100% bus utilization
US6442636B1 (en) * 1999-07-09 2002-08-27 Princeton Technology Corporation Parallel bus system capable of expanding peripheral devices
KR100297735B1 (ko) 1999-07-13 2001-11-01 윤종용 기능블록들의 효율적인 배치를 갖는 반도체 메모리장치
KR100304707B1 (ko) 1999-07-13 2001-11-01 윤종용 기준전압의 전압강하를 보상할 수 있는 기준전압 레귤레이터 및 이를 구비하는 반도체 메모리장치
US6839393B1 (en) * 1999-07-14 2005-01-04 Rambus Inc. Apparatus and method for controlling a master/slave system via master device synchronization
US6370668B1 (en) * 1999-07-23 2002-04-09 Rambus Inc High speed memory system capable of selectively operating in non-chip-kill and chip-kill modes
US6813251B1 (en) 1999-07-27 2004-11-02 Intel Corporation Split Transaction protocol for a bus system
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6477592B1 (en) 1999-08-06 2002-11-05 Integrated Memory Logic, Inc. System for I/O interfacing for semiconductor chip utilizing addition of reference element to each data element in first data stream and interpret to recover data elements of second data stream
US6467013B1 (en) 1999-09-30 2002-10-15 Intel Corporation Memory transceiver to couple an additional memory channel to an existing memory channel
US6851047B1 (en) 1999-10-15 2005-02-01 Xilinx, Inc. Configuration in a configurable system on a chip
US6643787B1 (en) 1999-10-19 2003-11-04 Rambus Inc. Bus system optimization
US6396329B1 (en) 1999-10-19 2002-05-28 Rambus, Inc Method and apparatus for receiving high speed signals with low latency
US7269212B1 (en) 2000-09-05 2007-09-11 Rambus Inc. Low-latency equalization in multi-level, multi-line communication systems
US6646953B1 (en) * 2000-07-06 2003-11-11 Rambus Inc. Single-clock, strobeless signaling system
US7161513B2 (en) * 1999-10-19 2007-01-09 Rambus Inc. Apparatus and method for improving resolution of a current mode driver
US7124221B1 (en) * 1999-10-19 2006-10-17 Rambus Inc. Low latency multi-level communication interface
US6842789B1 (en) * 1999-10-21 2005-01-11 Sun Microsystems, Inc. Method and apparatus for assigning unique device identifiers across a distributed computing system
US7039047B1 (en) * 1999-11-03 2006-05-02 Intel Corporation Virtual wire signaling
US6643752B1 (en) * 1999-12-09 2003-11-04 Rambus Inc. Transceiver with latency alignment circuitry
US6557065B1 (en) 1999-12-20 2003-04-29 Intel Corporation CPU expandability bus
US6404660B1 (en) 1999-12-23 2002-06-11 Rambus, Inc. Semiconductor package with a controlled impedance bus and method of forming same
KR100316719B1 (ko) * 1999-12-29 2001-12-13 윤종용 채널 버스 라인의 특성 열화를 방지하는 출력 드라이버 및이를 내장한 반도체 메모리 장치들을 장착하는 메모리 모듈
US6516384B1 (en) * 1999-12-30 2003-02-04 Intel Corporation Method and apparatus to perform a round robin and locking cache replacement scheme
US6910146B2 (en) * 1999-12-31 2005-06-21 Intel Corporation Method and apparatus for improving timing margin in an integrated circuit as determined from recorded pass/fail indications for relative phase settings
US6647507B1 (en) 1999-12-31 2003-11-11 Intel Corporation Method for improving a timing margin in an integrated circuit by setting a relative phase of receive/transmit and distributed clock signals
US7363422B2 (en) * 2000-01-05 2008-04-22 Rambus Inc. Configurable width buffered module
US7356639B2 (en) * 2000-01-05 2008-04-08 Rambus Inc. Configurable width buffered module having a bypass circuit
US7010642B2 (en) * 2000-01-05 2006-03-07 Rambus Inc. System featuring a controller device and a memory module that includes an integrated circuit buffer device and a plurality of integrated circuit memory devices
US20050010737A1 (en) * 2000-01-05 2005-01-13 Fred Ware Configurable width buffered module having splitter elements
US6502161B1 (en) * 2000-01-05 2002-12-31 Rambus Inc. Memory system including a point-to-point linked memory subsystem
US7266634B2 (en) * 2000-01-05 2007-09-04 Rambus Inc. Configurable width buffered module having flyby elements
US7404032B2 (en) * 2000-01-05 2008-07-22 Rambus Inc. Configurable width buffered module having switch elements
DE10002130A1 (de) 2000-01-19 2001-08-02 Infineon Technologies Ag Verfahren und Vorrichtung zum wechselweisen Betreiben eines Schreib-Lese-Speichers im Ein-Speicher-Betriebsmodus und im verschränkten Mehr-Speicher-Betriebsmodus
US6600959B1 (en) * 2000-02-04 2003-07-29 International Business Machines Corporation Method and apparatus for implementing microprocessor control logic using dynamic programmable logic arrays
US6987823B1 (en) * 2000-02-07 2006-01-17 Rambus Inc. System and method for aligning internal transmit and receive clocks
US6778561B1 (en) 2000-02-23 2004-08-17 Cypress Semiconductor Corp. Hybrid data transport scheme over optical networks
US6973084B1 (en) 2000-02-23 2005-12-06 Cypress Semiconductor Corp. Hybrid data transport scheme over optical networks
US6847644B1 (en) 2000-02-23 2005-01-25 Cypress Semiconductor Corp. Hybrid data transport scheme over optical networks
US6999479B1 (en) 2000-02-23 2006-02-14 Cypress Semiconductor Corp. Hybrid data transport scheme over optical networks
US7006525B1 (en) 2000-02-23 2006-02-28 Cypress Semiconductor Corp. Hybrid data transport scheme over optical networks
JP3663106B2 (ja) * 2000-02-28 2005-06-22 東芝機械株式会社 データ入出力装置
US6198666B1 (en) * 2000-02-29 2001-03-06 International Business Machines Corporation Control input timing-independent dynamic multiplexer circuit
EP1130516A1 (de) * 2000-03-01 2001-09-05 Hewlett-Packard Company, A Delaware Corporation Adressabbildung in einer Halbleiter-Speichervorrichtung
US6578157B1 (en) 2000-03-06 2003-06-10 Micron Technology, Inc. Method and apparatus for recovery of useful areas of partially defective direct rambus rimm components
JP3980807B2 (ja) * 2000-03-27 2007-09-26 株式会社東芝 半導体装置及び半導体モジュール
US6980314B1 (en) * 2000-04-03 2005-12-27 Hewlett-Packard Development Company, L.P. Method and device for improving utilization of a bus
US7269765B1 (en) 2000-04-13 2007-09-11 Micron Technology, Inc. Method and apparatus for storing failing part locations in a module
US6556952B1 (en) 2000-05-04 2003-04-29 Advanced Micro Devices, Inc. Performance monitoring and optimizing of controller parameters
US6889357B1 (en) 2000-05-10 2005-05-03 Micron Technology, Inc. Timing calibration pattern for SLDRAM
US6606041B1 (en) 2000-05-10 2003-08-12 Micron Technology, Inc. Predictive timing calibration for memory devices
US6434081B1 (en) 2000-05-12 2002-08-13 Micron Technology, Inc. Calibration technique for memory devices
US6369652B1 (en) 2000-05-15 2002-04-09 Rambus Inc. Differential amplifiers with current and resistance compensation elements for balanced output
US6535966B1 (en) 2000-05-17 2003-03-18 Sun Microsystems, Inc. System and method for using a page tracking buffer to reduce main memory latency in a computer system
US6791555B1 (en) * 2000-06-23 2004-09-14 Micron Technology, Inc. Apparatus and method for distributed memory control in a graphics processing system
US6937664B1 (en) 2000-07-18 2005-08-30 Integrated Memory Logic, Inc. System and method for multi-symbol interfacing
DE10036643B4 (de) 2000-07-26 2005-12-22 Robert Bosch Gmbh Verfahren und Vorrichtung zur Auswahl von Peripherieelementen
US6587804B1 (en) 2000-08-14 2003-07-01 Micron Technology, Inc. Method and apparatus providing improved data path calibration for memory devices
US6535450B1 (en) 2000-08-18 2003-03-18 Micron Technology, Inc. Method for selecting one or a bank of memory devices
KR100389916B1 (ko) * 2000-08-28 2003-07-04 삼성전자주식회사 메모리 모듈 및 메모리 컨트롤러
US6704881B1 (en) * 2000-08-31 2004-03-09 Micron Technology, Inc. Method and apparatus for providing symmetrical output data for a double data rate DRAM
US6530006B1 (en) 2000-09-18 2003-03-04 Intel Corporation System and method for providing reliable transmission in a buffered memory system
US6862653B1 (en) 2000-09-18 2005-03-01 Intel Corporation System and method for controlling data flow direction in a memory system
US6625685B1 (en) * 2000-09-20 2003-09-23 Broadcom Corporation Memory controller with programmable configuration
US6385094B1 (en) 2000-09-29 2002-05-07 Intel Corporation Method and apparatus for achieving efficient memory subsystem write-to-read turnaround through read posting
US6553449B1 (en) 2000-09-29 2003-04-22 Intel Corporation System and method for providing concurrent row and column commands
US6772352B1 (en) 2000-09-29 2004-08-03 Intel Corporation Method and apparatus for reducing the rate of commands being issued if the rate exceeds a threshold which is based upon a temperature curve
US6735709B1 (en) * 2000-11-09 2004-05-11 Micron Technology, Inc. Method of timing calibration using slower data rate pattern
US20020107943A1 (en) * 2000-11-10 2002-08-08 Heath Chester A. Reset control in modular network computers
US6580619B2 (en) * 2000-11-30 2003-06-17 Intel Corporation Multilayer reference plane in package devices
US6628528B2 (en) 2000-11-30 2003-09-30 Theodore Zale Schoenborn Current sharing in memory packages
JPWO2002050910A1 (ja) * 2000-12-01 2004-04-22 株式会社日立製作所 半導体集積回路装置の識別方法と半導体集積回路装置の製造方法及び半導体集積回路装置
US6925086B2 (en) * 2000-12-12 2005-08-02 International Business Machines Corporation Packet memory system
FR2818774B1 (fr) * 2000-12-22 2003-03-21 Wany Engineering Sas Architecture electronique parallele comportant une pluralite d'unites de traitement connectees a un bus de communication, et adressables par leurs fonctionnalites
GB2382898B (en) * 2000-12-29 2005-06-29 Zarlink Semiconductor Ltd A method of managing data
US6889336B2 (en) 2001-01-05 2005-05-03 Micron Technology, Inc. Apparatus for improving output skew for synchronous integrate circuits has delay circuit for generating unique clock signal by applying programmable delay to delayed clock signal
DE10101553C1 (de) * 2001-01-15 2002-07-25 Infineon Technologies Ag Halbleiterspeicher mit Verzögerungsregelkreis
US6700827B2 (en) 2001-02-08 2004-03-02 Integrated Device Technology, Inc. Cam circuit with error correction
US6587936B1 (en) 2001-02-21 2003-07-01 Cisco Technology, Inc. Multi-bank memory access method and apparatus
US7123660B2 (en) * 2001-02-27 2006-10-17 Jazio, Inc. Method and system for deskewing parallel bus channels to increase data transfer rates
US7610447B2 (en) 2001-02-28 2009-10-27 Rambus Inc. Upgradable memory system with reconfigurable interconnect
US6788593B2 (en) * 2001-02-28 2004-09-07 Rambus, Inc. Asynchronous, high-bandwidth memory component using calibrated timing elements
US6889304B2 (en) 2001-02-28 2005-05-03 Rambus Inc. Memory device supporting a dynamically configurable core organization
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
US9250908B2 (en) 2001-03-05 2016-02-02 Pact Xpp Technologies Ag Multi-processor bus and cache interconnection system
US9552047B2 (en) 2001-03-05 2017-01-24 Pact Xpp Technologies Ag Multiprocessor having runtime adjustable clock and clock dependent power supply
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US9141390B2 (en) 2001-03-05 2015-09-22 Pact Xpp Technologies Ag Method of processing data with an array of data processors according to application ID
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
NZ528578A (en) * 2001-03-23 2006-06-30 Advanced Bionutrition Microbial feeds for aquaculture and agriculture using microbes containing bioactive proteins
US6934823B2 (en) * 2001-03-29 2005-08-23 Intel Corporation Method and apparatus for handling memory read return data from different time domains
US7500075B1 (en) 2001-04-17 2009-03-03 Rambus Inc. Mechanism for enabling full data bus utilization without increasing data granularity
US7263148B2 (en) * 2001-04-20 2007-08-28 Mastek International Source synchronous CDMA bus interface
US6675272B2 (en) * 2001-04-24 2004-01-06 Rambus Inc. Method and apparatus for coordinating memory operations among diversely-located memory components
US8391039B2 (en) * 2001-04-24 2013-03-05 Rambus Inc. Memory module with termination component
KR100412130B1 (ko) 2001-05-25 2003-12-31 주식회사 하이닉스반도체 램버스 디램의 출력전류 제어회로
US6532162B2 (en) 2001-05-26 2003-03-11 Intel Corporation Reference plane of integrated circuit packages
ITMI20011150A1 (it) * 2001-05-30 2002-11-30 St Microelectronics Srl Multiplatore di colonna per memorie a semiconduttore
KR100434270B1 (ko) * 2001-05-30 2004-06-04 엘지전자 주식회사 가전기기 네트워크 제어시스템
DE10126610B4 (de) * 2001-05-31 2007-11-29 Infineon Technologies Ag Speichermodul und Verfahren zum Testen eines Halbleiterchips
US20020194363A1 (en) * 2001-06-14 2002-12-19 Cypress Semiconductor Corp. Programmable protocol processing engine for network packet devices
US20020191621A1 (en) * 2001-06-14 2002-12-19 Cypress Semiconductor Corp. Programmable protocol processing engine for network packet devices
US10031733B2 (en) 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
US20030023492A1 (en) * 2001-06-20 2003-01-30 John Riordan Method and system for collecting and processing marketing data
US6801989B2 (en) * 2001-06-28 2004-10-05 Micron Technology, Inc. Method and system for adjusting the timing offset between a clock signal and respective digital signals transmitted along with that clock signal, and memory device and computer system using same
US6710616B1 (en) * 2001-07-30 2004-03-23 Lsi Logic Corporation Wafer level dynamic burn-in
KR100422585B1 (ko) * 2001-08-08 2004-03-12 주식회사 하이닉스반도체 링 - 레지스터 제어형 지연 고정 루프 및 그의 제어방법
US6806728B2 (en) * 2001-08-15 2004-10-19 Rambus, Inc. Circuit and method for interfacing to a bus channel
US7941056B2 (en) 2001-08-30 2011-05-10 Micron Technology, Inc. Optical interconnect in high-speed memory systems
US6735546B2 (en) 2001-08-31 2004-05-11 Matrix Semiconductor, Inc. Memory device and method for temperature-based control over write and/or read operations
US6724665B2 (en) 2001-08-31 2004-04-20 Matrix Semiconductor, Inc. Memory device and method for selectable sub-array activation
US7107374B1 (en) 2001-09-05 2006-09-12 Xilinx, Inc. Method for bus mastering for devices resident in configurable system logic
JP4000028B2 (ja) * 2001-09-18 2007-10-31 株式会社東芝 同期型半導体記憶装置
DE10147138B4 (de) * 2001-09-25 2009-01-22 Qimonda Ag Verfahren zur Integration von imperfekten Halbleiterspeichereinrichtungen in Datenverarbeitungsvorrichtungen
JP3959264B2 (ja) * 2001-09-29 2007-08-15 株式会社東芝 積層型半導体装置
JP4308461B2 (ja) * 2001-10-05 2009-08-05 ラムバス・インコーポレーテッド 半導体記憶装置
US20030074434A1 (en) * 2001-10-11 2003-04-17 Jason James L. Determination of message source in network communications
US6920540B2 (en) 2001-10-22 2005-07-19 Rambus Inc. Timing calibration apparatus and method for a memory device signaling system
US6542416B1 (en) 2001-11-02 2003-04-01 Rambus Inc. Methods and arrangements for conditionally enforcing CAS latencies in memory devices
US20030101312A1 (en) * 2001-11-26 2003-05-29 Doan Trung T. Machine state storage apparatus and method
US6838712B2 (en) * 2001-11-26 2005-01-04 Micron Technology, Inc. Per-bit set-up and hold time adjustment for double-data rate synchronous DRAM
KR100557550B1 (ko) 2001-12-21 2006-03-03 주식회사 하이닉스반도체 클럭 동기 회로
JP4204226B2 (ja) * 2001-12-28 2009-01-07 日本テキサス・インスツルメンツ株式会社 デバイス識別方法、データ伝送方法、デバイス識別子付与装置、並びにデバイス
US7099922B2 (en) * 2002-01-23 2006-08-29 International Business Machines Corporation Method and system for simultaneous management of multiple tokens on a communication ring
US7101770B2 (en) 2002-01-30 2006-09-05 Micron Technology, Inc. Capacitive techniques to reduce noise in high speed interconnections
US7698230B1 (en) * 2002-02-15 2010-04-13 ContractPal, Inc. Transaction architecture utilizing transaction policy statements
KR100412142B1 (ko) * 2002-02-26 2003-12-31 주식회사 하이닉스반도체 패킷 전송 방식의 반도체 메모리 장치에서 스페셜 모드를구현하는 회로
US7174401B2 (en) 2002-02-28 2007-02-06 Lsi Logic Corporation Look ahead split release for a data bus
TWI235919B (en) * 2002-03-05 2005-07-11 Via Tech Inc Data-transmission control method
US6751113B2 (en) 2002-03-07 2004-06-15 Netlist, Inc. Arrangement of integrated circuits in a memory module
US7235457B2 (en) 2002-03-13 2007-06-26 Micron Technology, Inc. High permeability layered films to reduce noise in high speed interconnects
CA2422224A1 (en) * 2002-03-15 2003-09-15 Affymetrix, Inc. System, method, and product for scanning of biological materials
US9170812B2 (en) 2002-03-21 2015-10-27 Pact Xpp Technologies Ag Data processing system having integrated pipelined array data processor
US6759881B2 (en) * 2002-03-22 2004-07-06 Rambus Inc. System with phase jumping locked loop circuit
US6952123B2 (en) 2002-03-22 2005-10-04 Rambus Inc. System with dual rail regulated locked loop
US7135903B2 (en) * 2002-09-03 2006-11-14 Rambus Inc. Phase jumping locked loop circuit
US6911853B2 (en) * 2002-03-22 2005-06-28 Rambus Inc. Locked loop with dual rail regulation
US6922091B2 (en) 2002-09-03 2005-07-26 Rambus Inc. Locked loop circuit with clock hold function
FR2838006B1 (fr) * 2002-04-02 2004-11-12 St Microelectronics Sa Dispositif et procede pour synchroniser un echange de donnees avec un organe distant
US6563730B1 (en) 2002-04-09 2003-05-13 National Semiconductor Corporation Low power static RAM architecture
US6762961B2 (en) * 2002-04-16 2004-07-13 Sun Microsystems, Inc. Variable delay compensation for data-dependent mismatch in characteristic of opposing devices of a sense amplifier
US7231306B1 (en) * 2002-04-30 2007-06-12 Rambus Inc. Method and apparatus for calibrating static timing offsets across multiple outputs
US6948019B2 (en) * 2002-04-30 2005-09-20 Lsi Logic Corporation Apparatus for arbitrating non-queued split master devices on a data bus
US7020208B1 (en) 2002-05-03 2006-03-28 Pericom Semiconductor Corp. Differential clock signals encoded with data
US7133972B2 (en) * 2002-06-07 2006-11-07 Micron Technology, Inc. Memory hub with internal cache and/or memory access prediction
KR100437467B1 (ko) * 2002-07-03 2004-06-23 삼성전자주식회사 연속 버스트 읽기 동작 모드를 갖는 멀티 칩 시스템
US7298667B2 (en) * 2002-07-10 2007-11-20 Samsung Electronic Co., Ltd. Latency control circuit and method of latency control
US6944091B2 (en) * 2002-07-10 2005-09-13 Samsung Electronics Co., Ltd. Latency control circuit and method of latency control
KR100486250B1 (ko) * 2002-07-10 2005-05-03 삼성전자주식회사 고주파수 동작을 위한 동기식 반도체 장치의 레이턴시제어 회로 및 그 방법
US7149824B2 (en) 2002-07-10 2006-12-12 Micron Technology, Inc. Dynamically setting burst length of memory device by applying signal to at least one external pin during a read or write transaction
US7362800B1 (en) 2002-07-12 2008-04-22 Rambus Inc. Auto-configured equalizer
US7292629B2 (en) 2002-07-12 2007-11-06 Rambus Inc. Selectable-tap equalizer
US8861667B1 (en) 2002-07-12 2014-10-14 Rambus Inc. Clock data recovery circuit with equalizer clock calibration
US7200024B2 (en) * 2002-08-02 2007-04-03 Micron Technology, Inc. System and method for optically interconnecting memory devices
US7117316B2 (en) * 2002-08-05 2006-10-03 Micron Technology, Inc. Memory hub and access method having internal row caching
US7254331B2 (en) * 2002-08-09 2007-08-07 Micron Technology, Inc. System and method for multiple bit optical data transmission in memory systems
US7149874B2 (en) 2002-08-16 2006-12-12 Micron Technology, Inc. Memory hub bypass circuit and method
US7124260B2 (en) * 2002-08-26 2006-10-17 Micron Technology, Inc. Modified persistent auto precharge command protocol system and method for memory devices
US7081896B1 (en) * 2002-08-27 2006-07-25 Nvidia Corporation Memory request timing randomizer
US7836252B2 (en) * 2002-08-29 2010-11-16 Micron Technology, Inc. System and method for optimizing interconnections of memory devices in a multichip module
US6820181B2 (en) 2002-08-29 2004-11-16 Micron Technology, Inc. Method and system for controlling memory accesses to memory modules having a memory hub architecture
US6711051B1 (en) 2002-09-05 2004-03-23 National Semiconductor Corporation Static RAM architecture with bit line partitioning
EP1537486A1 (de) 2002-09-06 2005-06-08 PACT XPP Technologies AG Rekonfigurierbare sequenzerstruktur
US7102907B2 (en) * 2002-09-09 2006-09-05 Micron Technology, Inc. Wavelength division multiplexed memory module, memory system and method
US20040054864A1 (en) * 2002-09-13 2004-03-18 Jameson Neil Andrew Memory controller
US20040064686A1 (en) * 2002-09-30 2004-04-01 Miller Gregory L. Method and apparatus for marking current memory configuration
US6859434B2 (en) 2002-10-01 2005-02-22 Comsys Communication & Signal Processing Ltd. Data transfer scheme in a communications system incorporating multiple processing elements
US6982926B2 (en) * 2002-10-04 2006-01-03 Pgs Americas, Inc. Apparatus and method for bubble shielding towed marine cable
US20040081179A1 (en) * 2002-10-23 2004-04-29 Gregorcyk Arthur J. Method and system for selecting between serial storage buses using data signals of the buses
JP3773195B2 (ja) * 2002-10-25 2006-05-10 インターナショナル・ビジネス・マシーンズ・コーポレーション メモリモジュール、情報処理装置、メモリモジュールに関する初期設定方法、並びにプログラム
US7415565B2 (en) * 2002-10-31 2008-08-19 Ring Technology Enterprises, Llc Methods and systems for a storage system with a program-controlled switch for routing data
US7197662B2 (en) * 2002-10-31 2007-03-27 Ring Technology Enterprises, Llc Methods and systems for a storage system
US6879526B2 (en) * 2002-10-31 2005-04-12 Ring Technology Enterprises Llc Methods and apparatus for improved memory access
US7707351B2 (en) * 2002-10-31 2010-04-27 Ring Technology Enterprises Of Texas, Llc Methods and systems for an identifier-based memory section
US6954394B2 (en) * 2002-11-27 2005-10-11 Matrix Semiconductor, Inc. Integrated circuit and method for selecting a set of memory-cell-layer-dependent or temperature-dependent operating conditions
US7051229B2 (en) * 2002-12-03 2006-05-23 Alcatel Canada Inc. Logical bus overlay for increasing the existing system bus data rate
KR100506062B1 (ko) * 2002-12-18 2005-08-05 주식회사 하이닉스반도체 복합형 메모리 장치
KR100506448B1 (ko) * 2002-12-27 2005-08-08 주식회사 하이닉스반도체 불휘발성 강유전체 메모리를 이용한 인터리브 제어 장치
US7362697B2 (en) * 2003-01-09 2008-04-22 International Business Machines Corporation Self-healing chip-to-chip interface
US7313639B2 (en) * 2003-01-13 2007-12-25 Rambus Inc. Memory system and device with serialized data transfer
DE112004000140T5 (de) * 2003-01-13 2006-02-09 Rambus Inc., Los Altos Kodierte Schreibmaske
DE10302128B3 (de) * 2003-01-21 2004-09-09 Infineon Technologies Ag Pufferverstärkeranordnung
KR100507367B1 (ko) * 2003-01-24 2005-08-05 주식회사 하이닉스반도체 불휘발성 강유전체 메모리를 이용한 직렬 버스 제어 장치
US6967896B2 (en) * 2003-01-30 2005-11-22 Saifun Semiconductors Ltd Address scramble
DE10307548A1 (de) * 2003-02-21 2004-09-09 Infineon Technologies Ag Synchrones Speichersystem sowie Verfahren und Protokoll zur Kommunikation in einem synchronen Speichersystem
JP2004259318A (ja) * 2003-02-24 2004-09-16 Renesas Technology Corp 同期型半導体記憶装置
JP2004265265A (ja) * 2003-03-04 2004-09-24 Matsushita Electric Ind Co Ltd データ転送制御装置
CN100337269C (zh) * 2003-04-08 2007-09-12 华为技术有限公司 一种语音包汇聚转发实体和编解码实体配合的方法
US20050044174A1 (en) * 2003-04-11 2005-02-24 Sun Microsystems, Inc. Multi-node computer system where active devices selectively initiate certain transactions using remote-type address packets
US7234099B2 (en) * 2003-04-14 2007-06-19 International Business Machines Corporation High reliability memory module with a fault tolerant address and command bus
US6741111B1 (en) 2003-04-21 2004-05-25 Pericom Semiconductor Corp. Data register for buffering double-data-rate DRAMs with reduced data-input-path power consumption
US7028155B2 (en) * 2003-04-22 2006-04-11 Hewlett-Packard Development Company, L.P. Master-slave data management system and method
US6996785B1 (en) 2003-04-25 2006-02-07 Universal Network Machines, Inc . On-chip packet-based interconnections using repeaters/routers
TWI303427B (en) * 2003-04-30 2008-11-21 Hynix Semiconductor Inc Synchronous memory device having advanced data align circuit
US7266679B2 (en) * 2003-05-01 2007-09-04 Dell Products L.P. System and method for reducing instability in an information handling system
US20040225944A1 (en) * 2003-05-09 2004-11-11 Brueggen Christopher M. Systems and methods for processing an error correction code word for storage in memory components
US7392347B2 (en) * 2003-05-10 2008-06-24 Hewlett-Packard Development Company, L.P. Systems and methods for buffering data between a coherency cache controller and memory
US7016213B2 (en) * 2003-05-13 2006-03-21 Advanced Micro Devices, Inc. Method for initializing a system including a host and plurality of memory modules connected via a serial memory interconnect
US20040232956A1 (en) * 2003-05-22 2004-11-25 Rambus Inc Synchronized clocking
DE10323415A1 (de) * 2003-05-23 2004-12-30 Infineon Technologies Ag Speicheranordnung
US6838902B1 (en) * 2003-05-28 2005-01-04 Actel Corporation Synchronous first-in/first-out block memory for a field programmable gate array
US7200787B2 (en) * 2003-06-03 2007-04-03 Intel Corporation Memory channel utilizing permuting status patterns
US7194581B2 (en) * 2003-06-03 2007-03-20 Intel Corporation Memory channel with hot add/remove
US7127629B2 (en) * 2003-06-03 2006-10-24 Intel Corporation Redriving a data signal responsive to either a sampling clock signal or stable clock signal dependent on a mode signal
US7165153B2 (en) 2003-06-04 2007-01-16 Intel Corporation Memory channel with unidirectional links
US7340537B2 (en) * 2003-06-04 2008-03-04 Intel Corporation Memory channel with redundant presence detect
US8171331B2 (en) 2003-06-04 2012-05-01 Intel Corporation Memory channel having deskew separate from redrive
US7386768B2 (en) 2003-06-05 2008-06-10 Intel Corporation Memory channel with bit lane fail-over
US7245145B2 (en) * 2003-06-11 2007-07-17 Micron Technology, Inc. Memory module and method having improved signal routing topology
US7168027B2 (en) 2003-06-12 2007-01-23 Micron Technology, Inc. Dynamic synchronization of data capture on an optical or other high speed communications link
US7047385B1 (en) * 2003-06-16 2006-05-16 Cisco Technology, Inc. High-speed memory for use in networking systems
US7120727B2 (en) * 2003-06-19 2006-10-10 Micron Technology, Inc. Reconfigurable memory module and method
US7428644B2 (en) * 2003-06-20 2008-09-23 Micron Technology, Inc. System and method for selective memory module power management
US7260685B2 (en) * 2003-06-20 2007-08-21 Micron Technology, Inc. Memory hub and access method having internal prefetch buffers
US7107415B2 (en) * 2003-06-20 2006-09-12 Micron Technology, Inc. Posted write buffers and methods of posting write requests in memory modules
DE10328658A1 (de) 2003-06-26 2005-02-10 Infineon Technologies Ag Hub-Baustein für ein oder mehrere Speichermodule
DE10330593B4 (de) * 2003-07-07 2010-11-04 Qimonda Ag Integrierter Taktversorgungsbaustein für ein Speichermodul, Speichermodul, welches den integrierten Taktversorgungsbaustein umfasst, sowie Verfahren zum Betreiben des Speichermoduls unter Testbedingungen
US7356627B2 (en) * 2003-07-10 2008-04-08 Nokia Corporation Device identification
US6870749B1 (en) 2003-07-15 2005-03-22 Integrated Device Technology, Inc. Content addressable memory (CAM) devices with dual-function check bit cells that support column redundancy and check bit cells with reduced susceptibility to soft errors
US6987684B1 (en) 2003-07-15 2006-01-17 Integrated Device Technology, Inc. Content addressable memory (CAM) devices having multi-block error detection logic and entry selective error correction logic therein
US7193876B1 (en) 2003-07-15 2007-03-20 Kee Park Content addressable memory (CAM) arrays having memory cells therein with different susceptibilities to soft errors
US7389364B2 (en) 2003-07-22 2008-06-17 Micron Technology, Inc. Apparatus and method for direct memory access in a hub-based memory system
US7428245B1 (en) * 2003-08-01 2008-09-23 Staccato Communications, Inc. Split medium access and control layer communications system
US6861884B1 (en) * 2003-08-04 2005-03-01 Rambus Inc. Phase synchronization for wide area integrated circuits
US7317415B2 (en) 2003-08-08 2008-01-08 Affymetrix, Inc. System, method, and product for scanning of biological materials employing dual analog integrators
US7210059B2 (en) 2003-08-19 2007-04-24 Micron Technology, Inc. System and method for on-board diagnostics of memory modules
US7133991B2 (en) 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US20050050237A1 (en) * 2003-08-28 2005-03-03 Jeddeloh Joseph M. Memory module and method having on-board data search capabilities and processor-based system using such memory modules
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7084894B2 (en) * 2003-09-12 2006-08-01 Hewlett-Packard Development Company, L.P. Optical disc drive focusing apparatus
US7310752B2 (en) 2003-09-12 2007-12-18 Micron Technology, Inc. System and method for on-board timing margin testing of memory modules
US7177201B1 (en) 2003-09-17 2007-02-13 Sun Microsystems, Inc. Negative bias temperature instability (NBTI) preconditioning of matched devices
US6961276B2 (en) * 2003-09-17 2005-11-01 International Business Machines Corporation Random access memory having an adaptable latency
US7194593B2 (en) * 2003-09-18 2007-03-20 Micron Technology, Inc. Memory hub with integrated non-volatile memory
US20050063506A1 (en) * 2003-09-23 2005-03-24 Sony Corporation Method and system for jitter correction
US7057958B2 (en) * 2003-09-30 2006-06-06 Sandisk Corporation Method and system for temperature compensation for memory cells with temperature-dependent behavior
US7020035B1 (en) 2003-10-10 2006-03-28 Sun Microsystems, Inc. Measuring and correcting sense amplifier and memory mismatches using NBTI
US7164612B1 (en) 2003-10-10 2007-01-16 Sun Microsystems, Inc. Test circuit for measuring sense amplifier and memory mismatches
US7120743B2 (en) * 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
US7234070B2 (en) * 2003-10-27 2007-06-19 Micron Technology, Inc. System and method for using a learning sequence to establish communications on a high-speed nonsynchronous interface in the absence of clock forwarding
US7237042B2 (en) * 2003-10-29 2007-06-26 Intel Corporation Mechanism for generating a virtual identifier
US7177211B2 (en) * 2003-11-13 2007-02-13 Intel Corporation Memory channel test fixture and method
US7065666B2 (en) 2003-11-13 2006-06-20 Micron Technology, Inc. Apparatus and method for generating a delayed clock signal
US7243205B2 (en) * 2003-11-13 2007-07-10 Intel Corporation Buffered memory module with implicit to explicit memory command expansion
US7143207B2 (en) * 2003-11-14 2006-11-28 Intel Corporation Data accumulation between data path having redrive circuit and memory device
US7447953B2 (en) 2003-11-14 2008-11-04 Intel Corporation Lane testing with variable mapping
US7219294B2 (en) * 2003-11-14 2007-05-15 Intel Corporation Early CRC delivery for partial frame
JP2005182872A (ja) * 2003-12-17 2005-07-07 Toshiba Corp 不揮発性半導体記憶装置
US7304875B1 (en) 2003-12-17 2007-12-04 Integrated Device Technology. Inc. Content addressable memory (CAM) devices that support background BIST and BISR operations and methods of operating same
JP4741226B2 (ja) * 2003-12-25 2011-08-03 株式会社日立製作所 半導体メモリモジュール、およびメモリシステム
JP2005190036A (ja) * 2003-12-25 2005-07-14 Hitachi Ltd 記憶制御装置及び記憶制御装置の制御方法
US7330992B2 (en) 2003-12-29 2008-02-12 Micron Technology, Inc. System and method for read synchronization of memory modules
US7631138B2 (en) * 2003-12-30 2009-12-08 Sandisk Corporation Adaptive mode switching of flash memory address mapping based on host usage characteristics
US8504798B2 (en) * 2003-12-30 2013-08-06 Sandisk Technologies Inc. Management of non-volatile memory systems having large erase blocks
US8250295B2 (en) 2004-01-05 2012-08-21 Smart Modular Technologies, Inc. Multi-rank memory module that emulates a memory module having a different number of ranks
KR100558557B1 (ko) * 2004-01-20 2006-03-10 삼성전자주식회사 반도체 메모리 장치에서의 데이터 샘플링 방법 및 그에따른 데이터 샘플링 회로
US7042777B2 (en) * 2004-01-28 2006-05-09 Infineon Technologies Ag Memory device with non-variable write latency
US20050018495A1 (en) * 2004-01-29 2005-01-27 Netlist, Inc. Arrangement of integrated circuits in a memory module
US7188219B2 (en) * 2004-01-30 2007-03-06 Micron Technology, Inc. Buffer control system and method for a memory system having outstanding read and write request buffers
US7412574B2 (en) * 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
US7181584B2 (en) * 2004-02-05 2007-02-20 Micron Technology, Inc. Dynamic command and/or address mirroring system and method for memory modules
US7788451B2 (en) * 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
KR100604836B1 (ko) * 2004-02-26 2006-07-26 삼성전자주식회사 어드레스 버스 라인 상에 동시 양방향 입출력(sbdi/o)회로를 채용하는 메모리 시스템
US7916574B1 (en) 2004-03-05 2011-03-29 Netlist, Inc. Circuit providing load isolation and memory domain translation for memory module
US7289386B2 (en) 2004-03-05 2007-10-30 Netlist, Inc. Memory module decoder
US7366864B2 (en) 2004-03-08 2008-04-29 Micron Technology, Inc. Memory hub architecture having programmable lane widths
US7257683B2 (en) 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7120723B2 (en) 2004-03-25 2006-10-10 Micron Technology, Inc. System and method for memory hub-based expansion bus
US7902938B2 (en) 2004-03-29 2011-03-08 Nec Corporation Data transmitter, data transmission line, and data transmission method
US7447240B2 (en) * 2004-03-29 2008-11-04 Micron Technology, Inc. Method and system for synchronizing communications links in a hub-based memory system
US7213082B2 (en) 2004-03-29 2007-05-01 Micron Technology, Inc. Memory hub and method for providing memory sequencing hints
US6980042B2 (en) * 2004-04-05 2005-12-27 Micron Technology, Inc. Delay line synchronizer apparatus and method
US7590797B2 (en) * 2004-04-08 2009-09-15 Micron Technology, Inc. System and method for optimizing interconnections of components in a multichip memory module
US7142479B2 (en) 2004-04-19 2006-11-28 Nokia Corporation Addressing data within dynamic random access memory
TWI252409B (en) * 2004-04-26 2006-04-01 Sunplus Technology Co Ltd Enhanced expandable time-sharing bus device
US7162567B2 (en) * 2004-05-14 2007-01-09 Micron Technology, Inc. Memory hub and method for memory sequencing
US7222213B2 (en) * 2004-05-17 2007-05-22 Micron Technology, Inc. System and method for communicating the synchronization status of memory modules during initialization of the memory modules
US20050259692A1 (en) * 2004-05-19 2005-11-24 Zerbe Jared L Crosstalk minimization in serial link systems
DE102004025984A1 (de) * 2004-05-26 2005-12-15 Sms Demag Ag Verfahren und Einrichtung für die Montage und für Funktionsprüfung von Walzarmaturen in Walzgerüsten oder in Walzstraßen, wie bspw. Tandemwalzstraßen
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US7212423B2 (en) * 2004-05-31 2007-05-01 Intel Corporation Memory agent core clock aligned to lane
US7310748B2 (en) * 2004-06-04 2007-12-18 Micron Technology, Inc. Memory hub tester interface and method for use thereof
US7519788B2 (en) 2004-06-04 2009-04-14 Micron Technology, Inc. System and method for an asynchronous data buffer having buffer write and read pointers
JP4610235B2 (ja) * 2004-06-07 2011-01-12 ルネサスエレクトロニクス株式会社 階層型モジュール
DE102004031715B4 (de) * 2004-06-30 2013-05-29 Globalfoundries Inc. Kombinierte On-Chip-Befehls- und Antwortdatenschnittstelle
US7383399B2 (en) * 2004-06-30 2008-06-03 Intel Corporation Method and apparatus for memory compression
US20060004953A1 (en) * 2004-06-30 2006-01-05 Vogt Pete D Method and apparatus for increased memory bandwidth
DE102004032943A1 (de) * 2004-07-07 2006-02-02 Siemens Ag Verfahren zur optimierten Zugriffssteuerung eines Mikro-Controllers auf einen Schaltkreis
US7254659B2 (en) * 2004-07-26 2007-08-07 Motorola, Inc. Method of VMEbus split-read transaction
US7539800B2 (en) * 2004-07-30 2009-05-26 International Business Machines Corporation System, method and storage medium for providing segment level sparing
US7389375B2 (en) * 2004-07-30 2008-06-17 International Business Machines Corporation System, method and storage medium for a multi-mode memory buffer device
US20060036826A1 (en) * 2004-07-30 2006-02-16 International Business Machines Corporation System, method and storage medium for providing a bus speed multiplier
US7224595B2 (en) 2004-07-30 2007-05-29 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance
US7296129B2 (en) * 2004-07-30 2007-11-13 International Business Machines Corporation System, method and storage medium for providing a serialized memory interface with a bus repeater
US7287235B1 (en) * 2004-08-06 2007-10-23 Calypto Design Systems, Inc. Method of simplifying a circuit for equivalence checking
US7366942B2 (en) 2004-08-12 2008-04-29 Micron Technology, Inc. Method and apparatus for high-speed input sampling
US8190808B2 (en) 2004-08-17 2012-05-29 Rambus Inc. Memory device having staggered memory operations
US7392331B2 (en) * 2004-08-31 2008-06-24 Micron Technology, Inc. System and method for transmitting data packets in a computer system having a memory hub architecture
US7301831B2 (en) 2004-09-15 2007-11-27 Rambus Inc. Memory systems with variable delays for write data signals
US7324403B2 (en) * 2004-09-24 2008-01-29 Intel Corporation Latency normalization by balancing early and late clocks
US7254075B2 (en) 2004-09-30 2007-08-07 Rambus Inc. Integrated circuit memory system having dynamic memory bank count and page size
US7280428B2 (en) 2004-09-30 2007-10-09 Rambus Inc. Multi-column addressing mode memory system including an integrated circuit memory device
EP1647989A1 (de) * 2004-10-18 2006-04-19 Dialog Semiconductor GmbH Dynamische Anpassung von Speicher-Leseschaltungen
US7299313B2 (en) * 2004-10-29 2007-11-20 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US7395476B2 (en) * 2004-10-29 2008-07-01 International Business Machines Corporation System, method and storage medium for providing a high speed test interface to a memory subsystem
US7441060B2 (en) 2004-10-29 2008-10-21 International Business Machines Corporation System, method and storage medium for providing a service interface to a memory system
US7356737B2 (en) 2004-10-29 2008-04-08 International Business Machines Corporation System, method and storage medium for testing a memory module
US7305574B2 (en) * 2004-10-29 2007-12-04 International Business Machines Corporation System, method and storage medium for bus calibration in a memory subsystem
US7331010B2 (en) * 2004-10-29 2008-02-12 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US7512762B2 (en) 2004-10-29 2009-03-31 International Business Machines Corporation System, method and storage medium for a memory subsystem with positional read data latency
US7277988B2 (en) 2004-10-29 2007-10-02 International Business Machines Corporation System, method and storage medium for providing data caching and data compression in a memory subsystem
US7310704B1 (en) * 2004-11-02 2007-12-18 Symantec Operating Corporation System and method for performing online backup and restore of volume configuration information
JP4419074B2 (ja) * 2004-11-15 2010-02-24 エルピーダメモリ株式会社 半導体記憶装置
US7536666B1 (en) * 2004-11-19 2009-05-19 Xilinx, Inc. Integrated circuit and method of routing a clock signal in an integrated circuit
US8595459B2 (en) 2004-11-29 2013-11-26 Rambus Inc. Micro-threaded memory
WO2006065698A2 (en) * 2004-12-13 2006-06-22 William Kenneth Waller Sense amplifier circuitry and architecture to write data into and/or read data from memory cells
US7218570B2 (en) * 2004-12-17 2007-05-15 Sandisk 3D Llc Apparatus and method for memory operations using address-dependent conditions
US20060164909A1 (en) * 2005-01-24 2006-07-27 International Business Machines Corporation System, method and storage medium for providing programmable delay chains for a memory system
US20060168407A1 (en) * 2005-01-26 2006-07-27 Micron Technology, Inc. Memory hub system and method having large virtual page size
US20060179191A1 (en) * 2005-02-10 2006-08-10 Young David W Covert channel firewall
JP2006285602A (ja) * 2005-03-31 2006-10-19 Nec Corp メモリシステム、情報処理機器、データ転送方法、プログラム、記録媒体
US7702839B2 (en) 2005-04-12 2010-04-20 Nokia Corporation Memory interface for volatile and non-volatile memory devices
US20060248305A1 (en) * 2005-04-13 2006-11-02 Wayne Fang Memory device having width-dependent output latency
US7184327B2 (en) * 2005-04-14 2007-02-27 Micron Technology, Inc. System and method for enhanced mode register definitions
KR100670656B1 (ko) * 2005-06-09 2007-01-17 주식회사 하이닉스반도체 반도체 메모리 장치
US8244971B2 (en) 2006-07-31 2012-08-14 Google Inc. Memory circuit system and method
US8077535B2 (en) 2006-07-31 2011-12-13 Google Inc. Memory refresh apparatus and method
US8796830B1 (en) 2006-09-01 2014-08-05 Google Inc. Stackable low-profile lead frame package
US8089795B2 (en) 2006-02-09 2012-01-03 Google Inc. Memory module with memory stack and interface with enhanced capabilities
US7580312B2 (en) 2006-07-31 2009-08-25 Metaram, Inc. Power saving system and method for use with a plurality of memory circuits
US8041881B2 (en) * 2006-07-31 2011-10-18 Google Inc. Memory device with emulated characteristics
US8130560B1 (en) 2006-11-13 2012-03-06 Google Inc. Multi-rank partial width memory modules
US8438328B2 (en) 2008-02-21 2013-05-07 Google Inc. Emulation of abstracted DIMMs using abstracted DRAMs
US9171585B2 (en) 2005-06-24 2015-10-27 Google Inc. Configurable memory circuit system and method
US8327104B2 (en) 2006-07-31 2012-12-04 Google Inc. Adjusting the timing of signals associated with a memory system
US8090897B2 (en) 2006-07-31 2012-01-03 Google Inc. System and method for simulating an aspect of a memory circuit
US20080028136A1 (en) * 2006-07-31 2008-01-31 Schakel Keith R Method and apparatus for refresh management of memory modules
US7590796B2 (en) 2006-07-31 2009-09-15 Metaram, Inc. System and method for power management in memory systems
US8386722B1 (en) 2008-06-23 2013-02-26 Google Inc. Stacked DIMM memory interface
WO2007002324A2 (en) * 2005-06-24 2007-01-04 Metaram, Inc. An integrated memory core and memory interface circuit
US8111566B1 (en) 2007-11-16 2012-02-07 Google, Inc. Optimal channel design for memory devices for providing a high-speed memory interface
US8359187B2 (en) 2005-06-24 2013-01-22 Google Inc. Simulating a different number of memory circuit devices
US20080082763A1 (en) 2006-10-02 2008-04-03 Metaram, Inc. Apparatus and method for power management of memory circuits by a system or component thereof
US7386656B2 (en) 2006-07-31 2008-06-10 Metaram, Inc. Interface circuit system and method for performing power management operations in conjunction with only a portion of a memory circuit
US7609567B2 (en) 2005-06-24 2009-10-27 Metaram, Inc. System and method for simulating an aspect of a memory circuit
US8060774B2 (en) 2005-06-24 2011-11-15 Google Inc. Memory systems and memory modules
US7392338B2 (en) 2006-07-31 2008-06-24 Metaram, Inc. Interface circuit system and method for autonomously performing power management operations in conjunction with a plurality of memory circuits
US20080126690A1 (en) * 2006-02-09 2008-05-29 Rajan Suresh N Memory module with memory stack
US9507739B2 (en) 2005-06-24 2016-11-29 Google Inc. Configurable memory circuit system and method
US8335894B1 (en) 2008-07-25 2012-12-18 Google Inc. Configurable memory system with interface circuit
US9542352B2 (en) * 2006-02-09 2017-01-10 Google Inc. System and method for reducing command scheduling constraints of memory circuits
US8055833B2 (en) 2006-10-05 2011-11-08 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
US8081474B1 (en) 2007-12-18 2011-12-20 Google Inc. Embossed heat spreader
US10013371B2 (en) 2005-06-24 2018-07-03 Google Llc Configurable memory circuit system and method
KR100674978B1 (ko) * 2005-06-27 2007-01-29 삼성전자주식회사 반도체 장치의 일부 어드레스 핀의 터미네이션 값을조절하는 방법 및 이를 이용한 반도체 장치
KR100615580B1 (ko) * 2005-07-05 2006-08-25 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 데이터 입출력 방법과이를 구비한 메모리 시스템
US7872892B2 (en) * 2005-07-05 2011-01-18 Intel Corporation Identifying and accessing individual memory devices in a memory channel
US7660183B2 (en) 2005-08-01 2010-02-09 Rambus Inc. Low power memory device
GB2444663B (en) 2005-09-02 2011-12-07 Metaram Inc Methods and apparatus of stacking drams
US7616036B1 (en) 2005-09-12 2009-11-10 Virage Logic Corporation Programmable strobe and clock generator
US7519888B2 (en) 2005-09-12 2009-04-14 Virage Logic Corporation Input-output device testing
US7562271B2 (en) 2005-09-26 2009-07-14 Rambus Inc. Memory system topologies including a buffer device and an integrated circuit memory device
US7464225B2 (en) * 2005-09-26 2008-12-09 Rambus Inc. Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
US11328764B2 (en) 2005-09-26 2022-05-10 Rambus Inc. Memory system topologies including a memory die stack
US7403446B1 (en) * 2005-09-27 2008-07-22 Cypress Semiconductor Corporation Single late-write for standard synchronous SRAMs
US7652922B2 (en) 2005-09-30 2010-01-26 Mosaid Technologies Incorporated Multiple independent serial link memory
EP1932158A4 (de) 2005-09-30 2008-10-15 Mosaid Technologies Inc Speicher mit ausgangssteuerung
US7478259B2 (en) 2005-10-31 2009-01-13 International Business Machines Corporation System, method and storage medium for deriving clocks in a memory system
KR100668498B1 (ko) 2005-11-09 2007-01-12 주식회사 하이닉스반도체 반도체 메모리의 데이터 출력장치 및 방법
US7685392B2 (en) 2005-11-28 2010-03-23 International Business Machines Corporation Providing indeterminate read data latency in a memory system
US7679401B1 (en) * 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
JP4799157B2 (ja) 2005-12-06 2011-10-26 エルピーダメモリ株式会社 積層型半導体装置
US9632929B2 (en) 2006-02-09 2017-04-25 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US20070206586A1 (en) * 2006-03-02 2007-09-06 Matti Floman Method, mobile device, system and software for flexible burst length control
US8335868B2 (en) * 2006-03-28 2012-12-18 Mosaid Technologies Incorporated Apparatus and method for establishing device identifiers for serially interconnected devices
US7404055B2 (en) 2006-03-28 2008-07-22 Intel Corporation Memory transfer with early access to critical portion
US7681102B2 (en) * 2006-04-03 2010-03-16 Qlogic, Corporation Byte level protection in PCI-Express devices
US20070260841A1 (en) 2006-05-02 2007-11-08 Hampel Craig E Memory module with reduced access granularity
JP5065618B2 (ja) * 2006-05-16 2012-11-07 株式会社日立製作所 メモリモジュール
US7636813B2 (en) 2006-05-22 2009-12-22 International Business Machines Corporation Systems and methods for providing remote pre-fetch buffers
US7640386B2 (en) 2006-05-24 2009-12-29 International Business Machines Corporation Systems and methods for providing memory modules with multiple hub devices
US7283414B1 (en) 2006-05-24 2007-10-16 Sandisk 3D Llc Method for improving the precision of a temperature-sensor circuit
US7594055B2 (en) * 2006-05-24 2009-09-22 International Business Machines Corporation Systems and methods for providing distributed technology independent memory controllers
US7584336B2 (en) 2006-06-08 2009-09-01 International Business Machines Corporation Systems and methods for providing data modification operations in memory subsystems
US20070300077A1 (en) * 2006-06-26 2007-12-27 Seshadri Mani Method and apparatus for biometric verification of secondary authentications
US7617367B2 (en) * 2006-06-27 2009-11-10 International Business Machines Corporation Memory system including a two-on-one link memory subsystem interconnection
US20080028137A1 (en) * 2006-07-31 2008-01-31 Schakel Keith R Method and Apparatus For Refresh Management of Memory Modules
US20080028135A1 (en) * 2006-07-31 2008-01-31 Metaram, Inc. Multiple-component memory interface system and method
US20080025136A1 (en) * 2006-07-31 2008-01-31 Metaram, Inc. System and method for storing at least a portion of information received in association with a first operation for use in performing a second operation
US7724589B2 (en) 2006-07-31 2010-05-25 Google Inc. System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits
US7493439B2 (en) 2006-08-01 2009-02-17 International Business Machines Corporation Systems and methods for providing performance monitoring in a memory system
US7669086B2 (en) 2006-08-02 2010-02-23 International Business Machines Corporation Systems and methods for providing collision detection in a memory system
US7581073B2 (en) 2006-08-09 2009-08-25 International Business Machines Corporation Systems and methods for providing distributed autonomous power management in a memory system
US7587559B2 (en) * 2006-08-10 2009-09-08 International Business Machines Corporation Systems and methods for memory module power management
US7539842B2 (en) 2006-08-15 2009-05-26 International Business Machines Corporation Computer memory system for selecting memory buses according to physical memory organization information stored in virtual address translation tables
US7490217B2 (en) 2006-08-15 2009-02-10 International Business Machines Corporation Design structure for selecting memory busses according to physical memory organization information stored in virtual address translation tables
US20080059748A1 (en) * 2006-08-31 2008-03-06 Nokia Corporation Method, mobile device, system and software for a write method with burst stop and data masks
US8098784B2 (en) * 2006-09-05 2012-01-17 International Business Machines Corporation Systems, methods and computer program products for high speed data transfer using a plurality of external clock signals
US7613265B2 (en) * 2006-09-05 2009-11-03 International Business Machines Corporation Systems, methods and computer program products for high speed data transfer using an external clock signal
US7757064B2 (en) * 2006-09-07 2010-07-13 Infineon Technologies Ag Method and apparatus for sending data from a memory
JP4328790B2 (ja) * 2006-09-13 2009-09-09 Okiセミコンダクタ株式会社 半導体集積回路
US7483334B2 (en) 2006-09-26 2009-01-27 Micron Technology, Inc. Interleaved input signal path for multiplexed input
JP4823009B2 (ja) * 2006-09-29 2011-11-24 株式会社東芝 メモリカード及びホスト機器
US7477522B2 (en) * 2006-10-23 2009-01-13 International Business Machines Corporation High density high reliability memory module with a fault tolerant address and command bus
US7870459B2 (en) 2006-10-23 2011-01-11 International Business Machines Corporation High density high reliability memory module with power gating and a fault tolerant address and command bus
US7546397B2 (en) * 2006-10-24 2009-06-09 Intersil Americas Inc. Systems and methods for allowing multiple devices to share the same serial lines
US7715251B2 (en) * 2006-10-25 2010-05-11 Hewlett-Packard Development Company, L.P. Memory access strobe configuration system and process
US7925854B2 (en) * 2006-12-06 2011-04-12 Mosaid Technologies Incorporated System and method of operating memory devices of mixed type
EP2118903A4 (de) * 2006-12-06 2010-01-06 Mosaid Technologies Inc System und verfahren zum betrieb von speicheranordnungen des gemischten typs
US8271758B2 (en) * 2006-12-06 2012-09-18 Mosaid Technologies Incorporated Apparatus and method for producing IDS for interconnected devices of mixed type
US8010709B2 (en) * 2006-12-06 2011-08-30 Mosaid Technologies Incorporated Apparatus and method for producing device identifiers for serially interconnected devices of mixed type
US8433874B2 (en) * 2006-12-06 2013-04-30 Mosaid Technologies Incorporated Address assignment and type recognition of serially interconnected memory devices of mixed type
US8331361B2 (en) * 2006-12-06 2012-12-11 Mosaid Technologies Incorporated Apparatus and method for producing device identifiers for serially interconnected devices of mixed type
US7853727B2 (en) * 2006-12-06 2010-12-14 Mosaid Technologies Incorporated Apparatus and method for producing identifiers regardless of mixed device type in a serial interconnection
US20080137470A1 (en) * 2006-12-07 2008-06-12 Josef Schnell Memory with data clock receiver and command/address clock receiver
US7990724B2 (en) 2006-12-19 2011-08-02 Juhasz Paul R Mobile motherboard
US7721140B2 (en) 2007-01-02 2010-05-18 International Business Machines Corporation Systems and methods for improving serviceability of a memory system
US7603526B2 (en) * 2007-01-29 2009-10-13 International Business Machines Corporation Systems and methods for providing dynamic memory pre-fetch
US7606988B2 (en) 2007-01-29 2009-10-20 International Business Machines Corporation Systems and methods for providing a dynamic memory bank page policy
US7609562B2 (en) * 2007-01-31 2009-10-27 Intel Corporation Configurable device ID in non-volatile memory
US8010710B2 (en) * 2007-02-13 2011-08-30 Mosaid Technologies Incorporated Apparatus and method for identifying device type of serially interconnected devices
US7639557B1 (en) 2007-03-05 2009-12-29 Altera Corporation Configurable random-access-memory circuitry
US7778074B2 (en) * 2007-03-23 2010-08-17 Sigmatel, Inc. System and method to control one time programmable memory
EP3200189B1 (de) 2007-04-12 2021-06-02 Rambus Inc. Speichersystem mit punkt-zu-punkt-anforderungsverbindung
CN101730918B (zh) 2007-05-08 2013-03-27 斯卡尼梅特里科斯有限公司 超高速信号传送/接收
WO2008154625A2 (en) 2007-06-12 2008-12-18 Rambus Inc. In-dram cycle-based levelization
US20080320192A1 (en) * 2007-06-19 2008-12-25 Sundaram Chinthamani Front side bus performance using an early defer-reply mechanism
JP4890369B2 (ja) * 2007-07-10 2012-03-07 エルピーダメモリ株式会社 デューティ検知回路及びこれを用いたdll回路、半導体記憶装置、並びに、データ処理システム
US8209479B2 (en) 2007-07-18 2012-06-26 Google Inc. Memory circuit system and method
US8259884B2 (en) * 2007-07-20 2012-09-04 Blue Danube Labs, Inc. Method and system for multi-point signal generation with phase synchronized local carriers
US8068357B2 (en) * 2007-09-05 2011-11-29 Rambus Inc. Memory controller with multi-modal reference pad
US8080874B1 (en) 2007-09-14 2011-12-20 Google Inc. Providing additional space between an integrated circuit and a circuit board for positioning a component therebetween
US7602632B2 (en) * 2007-09-18 2009-10-13 International Business Machines Corporation Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition
US7764533B2 (en) * 2007-09-18 2010-07-27 International Business Machines Corporation Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition
US7567473B2 (en) 2007-09-18 2009-07-28 International Business Machines Corporation Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition
US7602631B2 (en) * 2007-09-18 2009-10-13 International Business Machines Corporation Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition
US8898368B2 (en) * 2007-11-07 2014-11-25 Inphi Corporation Redriven/retimed registered dual inline memory module
US8332932B2 (en) * 2007-12-07 2012-12-11 Scout Analytics, Inc. Keystroke dynamics authentication techniques
US7523379B1 (en) * 2008-03-31 2009-04-21 International Business Machines Corporation Method for time-delayed data protection
TWI373714B (en) * 2008-04-02 2012-10-01 Novatek Microelectronics Corp Electronic device for contention detection of bidirectional bus and related method
US8787060B2 (en) 2010-11-03 2014-07-22 Netlist, Inc. Method and apparatus for optimizing driver load in a memory package
US8516185B2 (en) 2009-07-16 2013-08-20 Netlist, Inc. System and method utilizing distributed byte-wise buffers on a memory module
US8154901B1 (en) 2008-04-14 2012-04-10 Netlist, Inc. Circuit providing load isolation and noise reduction
WO2010064292A1 (ja) * 2008-12-01 2010-06-10 パイオニア株式会社 データ処理装置、そのシステム、その方法、そのプログラム、および、そのプログラムを記録した記録媒体
US8122159B2 (en) 2009-01-16 2012-02-21 Allegro Microsystems, Inc. Determining addresses of electrical components arranged in a daisy chain
US9105323B2 (en) 2009-01-23 2015-08-11 Micron Technology, Inc. Memory device power managers and methods
US8264903B1 (en) 2009-05-05 2012-09-11 Netlist, Inc. Systems and methods for refreshing a memory module
KR101003150B1 (ko) * 2009-05-14 2010-12-21 주식회사 하이닉스반도체 어드레스 시프트 회로 및 방법
JP2010271841A (ja) * 2009-05-20 2010-12-02 Mitsubishi Electric Corp クロック信号同期回路
US9767342B2 (en) 2009-05-22 2017-09-19 Affymetrix, Inc. Methods and devices for reading microarrays
JP5449032B2 (ja) 2009-05-28 2014-03-19 パナソニック株式会社 メモリシステム
US8046628B2 (en) * 2009-06-05 2011-10-25 Micron Technology, Inc. Failure recovery memory devices and methods
EP2441007A1 (de) 2009-06-09 2012-04-18 Google, Inc. Programmierung von dimm-abschlusswiderstandswerten
US9128632B2 (en) 2009-07-16 2015-09-08 Netlist, Inc. Memory module with distributed data buffers and method of operation
US20110019760A1 (en) * 2009-07-21 2011-01-27 Rambus Inc. Methods and Systems for Reducing Supply and Termination Noise
US8461782B2 (en) * 2009-08-27 2013-06-11 Allegro Microsystems, Llc Linear or rotational motor driver identification
US7893739B1 (en) * 2009-08-27 2011-02-22 Altera Corporation Techniques for providing multiple delay paths in a delay circuit
US8130016B2 (en) * 2009-08-27 2012-03-06 Altera Corporation Techniques for providing reduced duty cycle distortion
US20110161428A1 (en) * 2009-12-28 2011-06-30 Ezpnp Technologies Corp. Two-way data and resources sharing method
EP2341445B1 (de) * 2009-12-30 2017-09-06 Intel Deutschland GmbH Verfahren zur Hochgeschwindigkeits-Datenübertragung
US8938589B2 (en) 2010-01-28 2015-01-20 Hewlett-Packard Development Company, L. P. Interface methods and apparatus for memory devices using arbitration
WO2011094437A2 (en) 2010-01-28 2011-08-04 Hewlett-Packard Development Company, L.P. Memory access methods and apparatus
US8335879B2 (en) * 2010-04-29 2012-12-18 Hewlett-Packard Development Company, L.P. Node differentiation in multi-node electronic systems
US8495327B2 (en) 2010-06-04 2013-07-23 Nvidia Corporation Memory device synchronization
US8462532B1 (en) 2010-08-31 2013-06-11 Netlogic Microsystems, Inc. Fast quaternary content addressable memory cell
US8625320B1 (en) 2010-08-31 2014-01-07 Netlogic Microsystems, Inc. Quaternary content addressable memory cell having one transistor pull-down stack
US8582338B1 (en) 2010-08-31 2013-11-12 Netlogic Microsystems, Inc. Ternary content addressable memory cell having single transistor pull-down stack
US8553441B1 (en) 2010-08-31 2013-10-08 Netlogic Microsystems, Inc. Ternary content addressable memory cell having two transistor pull-down stack
US8848438B2 (en) * 2010-10-05 2014-09-30 Stec, Inc. Asymmetric log-likelihood ratio for MLC flash channel
KR101796116B1 (ko) 2010-10-20 2017-11-10 삼성전자 주식회사 반도체 장치, 이를 포함하는 메모리 모듈, 메모리 시스템 및 그 동작방법
US8837188B1 (en) 2011-06-23 2014-09-16 Netlogic Microsystems, Inc. Content addressable memory row having virtual ground and charge sharing
US8773880B2 (en) 2011-06-23 2014-07-08 Netlogic Microsystems, Inc. Content addressable memory array having virtual ground nodes
US9268719B2 (en) 2011-08-05 2016-02-23 Rambus Inc. Memory signal buffers and modules supporting variable access granularity
US9146867B2 (en) 2011-10-31 2015-09-29 Hewlett-Packard Development Company, L.P. Methods and apparatus to access memory using runtime characteristics
US9712453B1 (en) * 2012-03-26 2017-07-18 Amazon Technologies, Inc. Adaptive throttling for shared resources
JP2013222364A (ja) 2012-04-18 2013-10-28 Renesas Electronics Corp 信号処理回路
US9129071B2 (en) * 2012-10-24 2015-09-08 Texas Instruments Incorporated Coherence controller slot architecture allowing zero latency write commit
US9582451B2 (en) * 2013-02-01 2017-02-28 Infineon Technologies Ag Receiver architecture
US8723329B1 (en) * 2013-03-15 2014-05-13 Invensas Corporation In-package fly-by signaling
CN105706064B (zh) 2013-07-27 2019-08-27 奈特力斯股份有限公司 具有本地分别同步的内存模块
DK3049520T3 (en) 2013-09-24 2017-08-14 Univ Washington Through Its Center For Commercialization DESMOGLEIN 2 (DSG2) BINDING PROTEINS AND USES THEREOF
KR101816944B1 (ko) * 2013-10-02 2018-01-09 엘에스산전 주식회사 UART Ring 통신의 ID 자동 설정방법
US9172565B2 (en) 2014-02-18 2015-10-27 Allegro Microsystems, Llc Signaling between master and slave components using a shared communication node of the master component
US9787495B2 (en) 2014-02-18 2017-10-10 Allegro Microsystems, Llc Signaling between master and slave components using a shared communication node of the master component
US10289181B2 (en) * 2014-04-29 2019-05-14 Hewlett Packard Enterprise Development Lp Switches coupling volatile memory devices to a power source
KR102204391B1 (ko) 2014-08-18 2021-01-18 삼성전자주식회사 공유 가능한 ecc 셀 어레이를 갖는 메모리 장치
GB201603589D0 (en) * 2016-03-01 2016-04-13 Surecore Ltd Memory unit
US10552361B2 (en) 2016-07-27 2020-02-04 Hubbell Incorporated Systems, apparatuses and methods for dual line inbound detection on a data communication bus
US10402110B2 (en) 2016-08-04 2019-09-03 Rambus Inc. Adjustable access energy and access latency memory system and devices
US10679722B2 (en) 2016-08-26 2020-06-09 Sandisk Technologies Llc Storage system with several integrated components and method for use therewith
US9721675B1 (en) * 2016-11-09 2017-08-01 Winbond Electronics Corporation Memory device having input circuit and operating method of same
FR3061383B1 (fr) 2016-12-26 2019-05-24 Stmicroelectronics (Grenoble 2) Sas Synchronisation d'un reseau de capteurs
US10057523B1 (en) 2017-02-13 2018-08-21 Alexander Krymski Image sensors and methods with multiple phase-locked loops and serializers
US10210918B2 (en) 2017-02-28 2019-02-19 Micron Technology, Inc. Apparatuses and methods for determining a phase relationship between an input clock signal and a multiphase clock signal
US10090026B2 (en) 2017-02-28 2018-10-02 Micron Technology, Inc. Apparatuses and methods for providing internal memory commands and control signals in semiconductor memories
KR20180106017A (ko) * 2017-03-17 2018-10-01 에스케이하이닉스 주식회사 메모리 시스템 및 메모리 시스템의 동작 방법
US10269397B2 (en) 2017-08-31 2019-04-23 Micron Technology, Inc. Apparatuses and methods for providing active and inactive clock signals
US10170166B1 (en) * 2017-09-08 2019-01-01 Winbond Electronics Corp. Data transmission apparatus for memory and data transmission method thereof
KR20190030923A (ko) * 2017-09-15 2019-03-25 에스케이하이닉스 주식회사 에러 정정 회로, 그것의 동작 방법 및 그것을 포함하는 데이터 저장 장치
US10747708B2 (en) 2018-03-08 2020-08-18 Allegro Microsystems, Llc Communication system between electronic devices
US10747470B2 (en) * 2018-05-10 2020-08-18 Micron Technology, Inc. Semiconductor device with pseudo flow through scheme for power savings
TWI666638B (zh) * 2018-08-21 2019-07-21 華邦電子股份有限公司 記憶體電路及其資料位元狀態偵測器
US10861564B2 (en) 2018-10-17 2020-12-08 Winbond Electronics Corp. Memory circuit and data bit status detector thereof
JP6894459B2 (ja) 2019-02-25 2021-06-30 華邦電子股▲ふん▼有限公司Winbond Electronics Corp. 疑似スタティックランダムアクセスメモリとその動作方法
JP6748760B1 (ja) 2019-05-13 2020-09-02 ウィンボンド エレクトロニクス コーポレーション 半導体記憶装置
US10714163B2 (en) 2019-05-13 2020-07-14 Intel Corporation Methods for mitigating transistor aging to improve timing margins for memory interface signals
DE102019125493A1 (de) * 2019-09-23 2021-03-25 Infineon Technologies Ag Slaveeinrichtung, Bussystem und Verfahren
US11442494B2 (en) 2020-06-08 2022-09-13 Analog Devices, Inc. Apparatus and methods for controlling a clock signal
KR20220086785A (ko) * 2020-12-16 2022-06-24 삼성전자주식회사 트랜잭션 가속기의 동작 방법. 트랜잭션 가속기를 포함하는 컴퓨팅 장치의 동작 방법, 그리고 트랜잭션 가속기를 포함하는 컴퓨팅 장치
US11768060B2 (en) * 2021-02-18 2023-09-26 Kirsch Llc Arrow or bolt having an advanced crossbow pin and pin nock
CN113377438B (zh) * 2021-08-13 2021-11-30 沐曦集成电路(上海)有限公司 一种处理器及其数据读写方法

Family Cites Families (475)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE627616A (de) * 1962-01-26
US3562432A (en) * 1966-11-16 1971-02-09 Communications Satellite Corp Synchronizer for time division multiple access satellite communication system
GB1262691A (en) * 1968-11-12 1972-02-02 Burroughs Corp Data processing system having current drive for transmission line
FR2044650B1 (de) * 1969-05-16 1974-06-14 Ibm France
US3713025A (en) * 1969-12-04 1973-01-23 Avco Corp Phase slip corrector means and method for synchronization of pseudorandom generating means in multistation networks
US3691534A (en) * 1970-11-04 1972-09-12 Gen Instrument Corp Read only memory system having increased data rate with alternate data readout
US3721838A (en) * 1970-12-21 1973-03-20 Ibm Repairable semiconductor circuit element and method of manufacture
US3740723A (en) * 1970-12-28 1973-06-19 Ibm Integral hierarchical binary storage element
US3771145B1 (en) * 1971-02-01 1994-11-01 Wiener Patricia P. Integrated circuit read-only memory
US3924241A (en) * 1971-03-15 1975-12-02 Burroughs Corp Memory cycle initiation in response to the presence of the memory address
US3758761A (en) * 1971-08-17 1973-09-11 Texas Instruments Inc Self-interconnecting/self-repairable electronic systems on a slice
US3753244A (en) * 1971-08-18 1973-08-14 Ibm Yield enhancement redundancy technique
US3803562A (en) * 1972-11-21 1974-04-09 Honeywell Inf Systems Semiconductor mass memory
US3821715A (en) 1973-01-22 1974-06-28 Intel Corp Memory system for a multi chip digital computer
GB1461245A (en) * 1973-01-28 1977-01-13 Hawker Siddeley Dynamics Ltd Reliability of random access memory systems
DE2364408C3 (de) * 1973-12-22 1979-06-07 Olympia Werke Ag, 2940 Wilhelmshaven Schaltungsanordnung zur Adressierung der Speicherplätze eines aus mehreren Chips bestehenden Speichers
DE2364254B2 (de) * 1973-12-22 1976-03-18 Schaltungsanordnung fuer datenverarbeitende geraete
US3950735A (en) 1974-01-04 1976-04-13 Honeywell Information Systems, Inc. Method and apparatus for dynamically controlling read/write operations in a peripheral subsystem
US3846763A (en) 1974-01-04 1974-11-05 Honeywell Inf Systems Method and apparatus for automatic selection of translators in a data processing system
US3900837A (en) * 1974-02-04 1975-08-19 Honeywell Inf Systems Variably addressable semiconductor mass memory
US3882470A (en) * 1974-02-04 1975-05-06 Honeywell Inf Systems Multiple register variably addressable semiconductor mass memory
US4038648A (en) * 1974-06-03 1977-07-26 Chesley Gilman D Self-configurable circuit structure for achieving wafer scale integration
US3969706A (en) 1974-10-08 1976-07-13 Mostek Corporation Dynamic random access memory misfet integrated circuit
US4263650B1 (en) * 1974-10-30 1994-11-29 Motorola Inc Digital data processing system with interface adaptor having programmable monitorable control register therein
US4079448A (en) * 1975-04-07 1978-03-14 Compagnie Honeywell Bull Apparatus for synchronizing tasks on peripheral devices
US4084154A (en) 1975-05-01 1978-04-11 Burroughs Corporation Charge coupled device memory system with burst mode
US4007452A (en) * 1975-07-28 1977-02-08 Intel Corporation Wafer scale integration system
US4099231A (en) * 1975-10-01 1978-07-04 Digital Equipment Corporation Memory control system for transferring selected words in a multiple memory word exchange during one memory cycle
US4048673A (en) 1976-02-27 1977-09-13 Data General Corporation Cpu - i/o bus interface for a data processing system
US4250570B1 (en) * 1976-07-15 1996-01-02 Intel Corp Redundant memory circuit
US4206833A (en) 1976-07-15 1980-06-10 Clark Equipment Company Mobile aerial tower
JPS60816B2 (ja) 1976-12-18 1985-01-10 三洋電機株式会社 ラジオ受信機のデジタル値設定装置
GB1574468A (en) * 1976-09-30 1980-09-10 Burroughs Corp Input-output subsystem in a digital data processing system
US4494186A (en) * 1976-11-11 1985-01-15 Honeywell Information Systems Inc. Automatic data steering and data formatting mechanism
US4092665A (en) * 1976-12-29 1978-05-30 Xerox Corporation Method and means for extracting variable length data from fixed length bytes
US4047246A (en) 1977-01-10 1977-09-06 Data General Corporation I/O bus transceiver for a data processing system
US4142069A (en) * 1977-06-20 1979-02-27 The United States Of America As Represented By The Secretary Of The Army Time reference distribution technique
US4255814A (en) * 1977-07-15 1981-03-10 Motorola, Inc. Simulcast transmission system
US4191996A (en) * 1977-07-22 1980-03-04 Chesley Gilman D Self-configurable computer and memory system
US4333142A (en) * 1977-07-22 1982-06-01 Chesley Gilman D Self-configurable computer and memory system
US4398248A (en) * 1980-10-20 1983-08-09 Mcdonnell Douglas Corporation Adaptive WSI/MNOS solid state memory system
JPS5714922Y2 (de) 1977-09-22 1982-03-27
US4426685A (en) 1978-03-20 1984-01-17 The United States Of America As Represented By The Secretary Of The Navy Solid state delay device
US4375665A (en) * 1978-04-24 1983-03-01 Texas Instruments Incorporated Eight bit standard connector bus for sixteen bit microcomputer using mirrored memory boards
US4231104A (en) 1978-04-26 1980-10-28 Teradyne, Inc. Generating timing signals
US4247817A (en) * 1978-05-15 1981-01-27 Teradyne, Inc. Transmitting electrical signals with a transmission time independent of distance between transmitter and receiver
US4205373A (en) * 1978-05-22 1980-05-27 Ncr Corporation System and method for accessing memory connected to different bus and requesting subsystem
US4183095A (en) * 1978-09-01 1980-01-08 Ncr Corporation High density memory device
US4222122A (en) 1978-11-15 1980-09-16 Everlast World's Boxing Headquarters Corp. Boxing headguard
US4234934A (en) * 1978-11-30 1980-11-18 Sperry Rand Corporation Apparatus for scaling memory addresses
US4257097A (en) 1978-12-11 1981-03-17 Bell Telephone Laboratories, Incorporated Multiprocessor system with demand assignable program paging stores
US4315308A (en) 1978-12-21 1982-02-09 Intel Corporation Interface between a microprocessor chip and peripheral subsystems
US4249247A (en) * 1979-01-08 1981-02-03 Ncr Corporation Refresh system for dynamic RAM memory
US4222112A (en) * 1979-02-09 1980-09-09 Bell Telephone Laboratories, Incorporated Dynamic RAM organization for reducing peak current
JPS55110355A (en) * 1979-02-16 1980-08-25 Toshiba Corp Memory board and selection system for it
US4253147A (en) 1979-04-09 1981-02-24 Rockwell International Corporation Memory unit with pipelined cycle of operations
DE2915488C2 (de) * 1979-04-17 1982-05-19 Nixdorf Computer Ag, 4790 Paderborn Schaltungsanordnung zur Steuerung der Übertragung von Digital-Signalen, insbesondere PCM-Signalen, zwischen Anschlußstellen eines Zeitmultiplex-Fernmeldenetzes, insbesondere PCM-Zeitmultiplex-Fernmeldenetzes
US4250352A (en) * 1979-05-10 1981-02-10 Workman William S Sr Remote station monitoring system
US4286321A (en) * 1979-06-18 1981-08-25 International Business Machines Corporation Common bus communication system in which the width of the address field is greater than the number of lines on the bus
US4320505A (en) * 1979-07-23 1982-03-16 Bell Telephone Laboratories, Incorporated Processing apparatus for data rate reduction
JPS5634186A (en) * 1979-08-29 1981-04-06 Hitachi Ltd Bipolar memory circuit
JPS5636628A (en) 1979-09-03 1981-04-09 Asahi Optical Co Ltd Memory device in phase synchronizing type automatic exposure control mechanism
US4306298A (en) * 1979-10-09 1981-12-15 Texas Instruments Incorporated Memory system for microprocessor with multiplexed address/data bus
US4443864A (en) * 1979-10-09 1984-04-17 Texas Instruments Incorporated Memory system for microprocessor with multiplexed address/data bus
JPS5669056A (en) 1979-11-08 1981-06-10 Fanuc Ltd Robot-equipped machining center
US4330852A (en) 1979-11-23 1982-05-18 Texas Instruments Incorporated Semiconductor read/write memory array having serial access
US4322635A (en) 1979-11-23 1982-03-30 Texas Instruments Incorporated High speed serial shift register for MOS integrated circuit
DE2948159C2 (de) 1979-11-29 1983-10-27 Siemens AG, 1000 Berlin und 8000 München Integrierter Speicherbaustein mit wählbaren Betriebsfunktionen
JPS5682961U (de) 1979-12-01 1981-07-04
JPS5682961A (en) 1979-12-11 1981-07-07 Fujitsu Ltd Memory control system
DE2952056C2 (de) * 1979-12-22 1981-11-26 Hewlett-Packard GmbH, 7030 Böblingen Schreib- und Leseschaltung für einen Speicher mit wahlfreiem Zugriff
US4338569A (en) 1980-03-11 1982-07-06 Control Data Corporation Delay lock loop
US4348754A (en) * 1980-05-15 1982-09-07 Ampex Corporation Digital delay for high quality audio use
GB2077468B (en) 1980-06-04 1984-10-24 Hitachi Ltd Multi-computer system with plural serial bus loops
JPS5714922A (en) 1980-07-02 1982-01-26 Hitachi Ltd Storage device
US4385350A (en) * 1980-07-16 1983-05-24 Ford Aerospace & Communications Corporation Multiprocessor system having distributed priority resolution circuitry
US4468738A (en) * 1980-07-16 1984-08-28 Ford Aerospace & Communications Corporation Bus access arbitration using unitary arithmetic resolution logic and unique logical addresses of competing processors
US4360870A (en) * 1980-07-30 1982-11-23 International Business Machines Corporation Programmable I/O device identification
US4373183A (en) * 1980-08-20 1983-02-08 Ibm Corporation Bus interface units sharing a common bus using distributed control for allocation of the bus
US4379222A (en) 1980-08-21 1983-04-05 Ncr Corporation High speed shift register
US4355376A (en) * 1980-09-30 1982-10-19 Burroughs Corporation Apparatus and method for utilizing partially defective memory devices
JPS5764895U (de) * 1980-10-03 1982-04-17
US4408272A (en) 1980-11-03 1983-10-04 Bell Telephone Laboratories, Incorporated Data control circuit
EP0054077B1 (de) 1980-12-08 1984-11-21 International Business Machines Corporation Verfahren zur Übertragung von Information zwischen Stationen, die an eine unidirektionale Ringleitung angeschlossen sind
JPS57101957A (en) 1980-12-17 1982-06-24 Hitachi Ltd Storage control device
US4435762A (en) 1981-03-06 1984-03-06 International Business Machines Corporation Buffered peripheral subsystems
US4945471A (en) * 1981-04-01 1990-07-31 Teradata Corporation Message transmission system for selectively transmitting one of two colliding messages based on contents thereof
US4493021A (en) * 1981-04-03 1985-01-08 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Multicomputer communication system
US4583161A (en) * 1981-04-16 1986-04-15 Ncr Corporation Data processing system wherein all subsystems check for message errors
EP0077328A4 (de) * 1981-04-27 1985-06-26 Textron Inc Vielfachleitung für mehrere hauptprozessoren.
JPS57186289A (en) * 1981-05-13 1982-11-16 Hitachi Ltd Semiconductor memory
JPS6030898B2 (ja) * 1981-05-15 1985-07-19 テクトロニクス・インコ−ポレイテツド ロジツク・アナライザの入力装置
JPS589285A (ja) * 1981-07-08 1983-01-19 Toshiba Corp 半導体装置
US4458357A (en) * 1981-08-17 1984-07-03 Basic Four Corporation Circuit board identity generator
JPS5831637A (ja) 1981-08-20 1983-02-24 Nec Corp 多重処理装置
US4438494A (en) * 1981-08-25 1984-03-20 Intel Corporation Apparatus of fault-handling in a multiprocessing system
US4419747A (en) * 1981-09-14 1983-12-06 Seeq Technology, Inc. Method and device for providing process and test information in semiconductors
US4513374A (en) * 1981-09-25 1985-04-23 Ltv Aerospace And Defense Memory system
US4500905A (en) * 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
US4811202A (en) * 1981-10-01 1989-03-07 Texas Instruments Incorporated Quadruply extended time multiplexed information bus for reducing the `pin out` configuration of a semiconductor chip package
US4421996A (en) * 1981-10-09 1983-12-20 Advanced Micro Devices, Inc. Sense amplification scheme for random access memory
US4637365A (en) 1981-10-19 1987-01-20 Motortech, Inc. Fuel conditioning apparatus and method
US4595923A (en) 1981-10-21 1986-06-17 Elxsi Improved terminator for high speed data bus
US4481625A (en) * 1981-10-21 1984-11-06 Elxsi High speed data bus system
US4462088A (en) 1981-11-03 1984-07-24 International Business Machines Corporation Array design using a four state cell for double density
JPS5880193A (ja) 1981-11-06 1983-05-14 Mitsubishi Electric Corp メモリの記憶内容消去方法
US4480307A (en) * 1982-01-04 1984-10-30 Intel Corporation Interface for use between a memory and components of a module switching apparatus
US4488218A (en) * 1982-01-07 1984-12-11 At&T Bell Laboratories Dynamic priority queue occupancy scheme for access to a demand-shared bus
FR2520910B1 (fr) 1982-02-04 1987-07-10 Victor Company Of Japan Dispositif a memoire video
US4470114A (en) * 1982-03-01 1984-09-04 Burroughs Corporation High speed interconnection network for a cluster of processors
JPS58155596A (ja) * 1982-03-10 1983-09-16 Hitachi Ltd ダイナミツク型mosram
JPS58159360A (ja) * 1982-03-17 1983-09-21 Fujitsu Ltd 半導体装置
JPS58184626A (ja) 1982-04-22 1983-10-28 Toshiba Corp バスクロツク同期方式
US4449207A (en) * 1982-04-29 1984-05-15 Intel Corporation Byte-wide dynamic RAM with multiplexed internal buses
FR2526250B1 (fr) * 1982-04-30 1988-05-13 Labo Electronique Physique Procede de calage temporel automatique de stations dans un systeme de transmission par multiplex et de traitement de donnees
JPS58192154A (ja) 1982-05-07 1983-11-09 Casio Comput Co Ltd 自動デ−タ処理機能を有するメモリ装置
US4506348A (en) 1982-06-14 1985-03-19 Allied Corporation Variable digital delay circuit
US4667305A (en) 1982-06-30 1987-05-19 International Business Machines Corporation Circuits for accessing a variable width data bus with a variable width data field
US4519034A (en) * 1982-06-30 1985-05-21 Elxsi I/O Bus clock
JPS595478A (ja) 1982-07-02 1984-01-12 Toshiba Corp 電子計算機のアドレス装置
NO157998C (no) 1982-07-13 1988-06-29 Siemens Ag Synkron taktgenerator for digitalsignal-multipleksapparater.
US4513370A (en) * 1982-07-19 1985-04-23 Amdahl Corporation Data transfer control system and method for a plurality of linked stations
US4608700A (en) * 1982-07-29 1986-08-26 Massachusetts Institute Of Technology Serial multi-drop data link
US4433934A (en) * 1982-08-19 1984-02-28 Rockwell International Corporation Push-pull yoke-power takeoff coupling
US4494021A (en) * 1982-08-30 1985-01-15 Xerox Corporation Self-calibrated clock and timing signal generator for MOS/VLSI circuitry
DE3380465D1 (en) * 1982-09-20 1989-09-28 Toshiba Kk Video ram write control apparatus
US4476560A (en) * 1982-09-21 1984-10-09 Advanced Micro Devices, Inc. Diagnostic circuit for digital systems
US4562435A (en) * 1982-09-29 1985-12-31 Texas Instruments Incorporated Video display system using serial/parallel access memories
US4513372A (en) * 1982-11-15 1985-04-23 Data General Corporation Universal memory
US4496861A (en) * 1982-12-06 1985-01-29 Intel Corporation Integrated circuit synchronous delay line
US4509142A (en) 1982-12-15 1985-04-02 Texas Instruments Incorporated Semiconductor memory device with pipeline access
JPS59111561A (ja) * 1982-12-17 1984-06-27 Hitachi Ltd 複合プロセツサ・システムのアクセス制御方式
US4586167A (en) * 1983-01-24 1986-04-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device
US4528661A (en) 1983-02-14 1985-07-09 Prime Computer, Inc. Ring communications system
JPS59165285A (ja) 1983-03-11 1984-09-18 Hitachi Ltd 半導体記憶素子
JPS59172897A (ja) * 1983-03-22 1984-09-29 Victor Co Of Japan Ltd カラ−映像信号再生装置におけるクロツクパルス発生回路
EP0120172B1 (de) 1983-03-29 1988-02-03 International Business Machines Corporation Businterfacevorrichtung für ein Datenverarbeitungssystem
GB2138230B (en) * 1983-04-12 1986-12-03 Sony Corp Dynamic random access memory arrangements
FR2545670B1 (fr) 1983-05-04 1985-07-05 Billy Jean Claude Multiplexeur, demultiplexeur et equipement de multiplexage-demultiplexage a trames reconfigurables
US4520465A (en) 1983-05-05 1985-05-28 Motorola, Inc. Method and apparatus for selectively precharging column lines of a memory
US4616310A (en) 1983-05-20 1986-10-07 International Business Machines Corporation Communicating random access memory
US4513355A (en) * 1983-06-15 1985-04-23 Motorola, Inc. Metallization and bonding means and method for VLSI packages
JPS603268A (ja) 1983-06-20 1985-01-09 Dainippon Screen Mfg Co Ltd 画像走査記録装置における記憶装置への画像デ−タ書込み読出し方法
US4770640A (en) * 1983-06-24 1988-09-13 Walter Howard F Electrical interconnection device for integrated circuits
JPS6011993A (ja) * 1983-06-30 1985-01-22 シャープ株式会社 電子式キヤツシユレジスタ
US4649511A (en) * 1983-07-25 1987-03-10 General Electric Company Dynamic memory controller for single-chip microprocessor
US4656605A (en) * 1983-09-02 1987-04-07 Wang Laboratories, Inc. Single in-line memory module
JPS6055459A (ja) 1983-09-07 1985-03-30 Hitachi Ltd プロツクデ−タ転送記憶制御方法
US4646270A (en) * 1983-09-15 1987-02-24 Motorola, Inc. Video graphic dynamic RAM
US4763249A (en) 1983-09-22 1988-08-09 Digital Equipment Corporation Bus device for use in a computer system having a synchronous bus
US4628489A (en) 1983-10-03 1986-12-09 Honeywell Information Systems Inc. Dual address RAM
JPS6080193A (ja) 1983-10-07 1985-05-08 Hitachi Micro Comput Eng Ltd メモリシステム
FR2553609B1 (fr) 1983-10-14 1985-12-27 Chomel Denis Systeme de multiplexage numerique temporel asynchrone a bus distribue
GB8329511D0 (en) 1983-11-04 1983-12-07 Inmos Ltd Timing apparatus
US4570220A (en) * 1983-11-25 1986-02-11 Intel Corporation High speed parallel bus and data transfer method
US4882669A (en) * 1983-11-28 1989-11-21 Canon Kabushiki Kaisha Multi computer fail safe control apparatus
US4660141A (en) * 1983-12-06 1987-04-21 Tri Sigma Corporation Self configuring computer network with automatic bus exchange of module identification numbers and processor assigned module numbers
US4635192A (en) * 1983-12-06 1987-01-06 Tri Sigma Corporation Self configuring bus structure for computer network
JPS60136086A (ja) 1983-12-23 1985-07-19 Hitachi Ltd 半導体記憶装置
JPS60140993A (ja) 1983-12-27 1985-07-25 Matsushita Electric Ind Co Ltd 記録再生装置
US4639890A (en) 1983-12-30 1987-01-27 Texas Instruments Incorporated Video display system using memory with parallel and serial access employing selectable cascaded serial shift registers
US4688197A (en) 1983-12-30 1987-08-18 Texas Instruments Incorporated Control of data access to memory for improved video system
US4747081A (en) 1983-12-30 1988-05-24 Texas Instruments Incorporated Video display system using memory with parallel and serial access employing serial shift registers selected by column address
US4663735A (en) 1983-12-30 1987-05-05 Texas Instruments Incorporated Random/serial access mode selection circuit for a video memory system
US4745548A (en) * 1984-02-17 1988-05-17 American Telephone And Telegraph Company, At&T Bell Laboratories Decentralized bus arbitration using distributed arbiters having circuitry for latching lockout signals gated from higher priority arbiters
US4584672A (en) * 1984-02-22 1986-04-22 Intel Corporation CMOS dynamic random-access memory with active cycle one half power supply potential bit line precharge
US4654655A (en) * 1984-03-02 1987-03-31 Motorola, Inc. Multi-user serial data bus
US4631659A (en) 1984-03-08 1986-12-23 Texas Instruments Incorporated Memory interface with automatic delay state
US4766536A (en) * 1984-04-19 1988-08-23 Rational Computer bus apparatus with distributed arbitration
US4775931A (en) * 1984-05-11 1988-10-04 Hewlett-Packard Company Dynamically configured computing device
US4566098A (en) * 1984-05-14 1986-01-21 Northern Telecom Limited Control mechanism for a ring communication system
US4727475A (en) * 1984-05-18 1988-02-23 Frederick Kiremidjian Self-configuring modular computer system with automatic address initialization
US4649516A (en) * 1984-06-01 1987-03-10 International Business Machines Corp. Dynamic row buffer circuit for DRAM
JPS60261095A (ja) 1984-06-06 1985-12-24 Mitsubishi Electric Corp 半導体記憶装置
US4712194A (en) * 1984-06-08 1987-12-08 Matsushita Electric Industrial Co., Ltd. Static random access memory
DE3584318D1 (de) 1984-06-29 1991-11-14 Ibm Hochgeschwindigkeitspufferspeicheranordnung fuer schnelle datenuebertragung.
USH696H (en) 1984-07-03 1989-10-03 Cpt Corporation System for accessing shared resource device by intelligent user devices
JPS6154098A (ja) * 1984-08-23 1986-03-18 Fujitsu Ltd パルス発生回路
US4637018A (en) 1984-08-29 1987-01-13 Burroughs Corporation Automatic signal delay adjustment method
JPH0799616B2 (ja) * 1984-08-30 1995-10-25 三菱電機株式会社 半導体記憶装置
US4773005A (en) * 1984-09-07 1988-09-20 Tektronix, Inc. Dynamic address assignment system
JPS6172350A (ja) 1984-09-14 1986-04-14 Fujitsu Ltd デ−タ転送制御方式
US4646269A (en) 1984-09-18 1987-02-24 Monolithic Memories, Inc. Multiple programmable initialize words in a programmable read only memory
JPS6172350U (de) 1984-10-12 1986-05-16
US4629909A (en) 1984-10-19 1986-12-16 American Microsystems, Inc. Flip-flop for storing data on both leading and trailing edges of clock signal
US4641276A (en) 1984-10-22 1987-02-03 General Electric Company Serial-parallel data transfer system for VLSI data paths
JPS61102333A (ja) * 1984-10-24 1986-05-21 Nippon Denso Co Ltd 自動車の差動装置
JPS61107453A (ja) 1984-10-30 1986-05-26 Nec Corp モジユ−ルアドレス設定回路
US4758993A (en) * 1984-11-19 1988-07-19 Fujitsu Limited Random access memory device formed on a semiconductor substrate having an array of memory cells divided into sub-arrays
US4625307A (en) 1984-12-13 1986-11-25 United Technologies Corporation Apparatus for interfacing between at least one channel and at least one bus
DE3543911A1 (de) 1984-12-14 1986-06-26 Mitsubishi Denki K.K., Tokio/Tokyo Digitale verzoegerungseinheit
US4633440A (en) 1984-12-31 1986-12-30 International Business Machines Multi-port memory chip in a hierarchical memory
US4675813A (en) * 1985-01-03 1987-06-23 Northern Telecom Limited Program assignable I/O addresses for a computer
US4636986B1 (en) 1985-01-22 1999-12-07 Texas Instruments Inc Separately addressable memory arrays in a multiple array semiconductor chip
DE3588156T2 (de) 1985-01-22 1998-01-08 Texas Instruments Inc Halbleiterspeicher mit Serienzugriff
US4683555A (en) * 1985-01-22 1987-07-28 Texas Instruments Incorporated Serial accessed semiconductor memory with reconfigureable shift registers
US4984217A (en) * 1985-01-23 1991-01-08 Hitachi, Ltd. Semiconductor memory
US4712190A (en) 1985-01-25 1987-12-08 Digital Equipment Corporation Self-timed random access memory chip
JPS61175845A (ja) * 1985-01-31 1986-08-07 Toshiba Corp マイクロプロセツサシステム
US4719602A (en) * 1985-02-07 1988-01-12 Visic, Inc. Memory with improved column access
DE3504992A1 (de) * 1985-02-14 1986-08-14 Brown, Boveri & Cie Ag, 6800 Mannheim Leistungshalbleitermodul mit integriertem waermerohr
US4933835A (en) * 1985-02-22 1990-06-12 Intergraph Corporation Apparatus for maintaining consistency of a cache memory with a primary memory
US4740924A (en) 1985-02-25 1988-04-26 Siemens Aktiengesellschaft Circuit arrangement comprising a matrix-shaped memory arrangement for variably adjustable time delay of digital signals
US4769778A (en) 1985-02-25 1988-09-06 Siemens Aktiengesellschaft Circuit arrangement comprising a matrix-shaped memory arrangement for digital filtration of image signals in row and column directions
DE3683783D1 (de) * 1985-03-14 1992-03-19 Fujitsu Ltd Halbleiterspeicheranordnung.
US4685088A (en) 1985-04-15 1987-08-04 International Business Machines Corporation High performance memory system utilizing pipelining techniques
US4726021A (en) 1985-04-17 1988-02-16 Hitachi, Ltd. Semiconductor memory having error correcting means
DE3578905D1 (de) * 1985-04-23 1990-08-30 Ibm Nebenanschlussanordnung und verfahren zum stationsanschliessen fuer ein ringkommunikationssystem.
US4744062A (en) * 1985-04-23 1988-05-10 Hitachi, Ltd. Semiconductor integrated circuit with nonvolatile memory
JPS61267148A (ja) * 1985-05-22 1986-11-26 Hitachi Ltd 記憶回路
US4644532A (en) * 1985-06-10 1987-02-17 International Business Machines Corporation Automatic update of topology in a hybrid network
US4951251A (en) * 1985-06-17 1990-08-21 Hitachi, Ltd. Semiconductor memory device
US4703418A (en) 1985-06-28 1987-10-27 Hewlett-Packard Company Method and apparatus for performing variable length data read transactions
US4893174A (en) * 1985-07-08 1990-01-09 Hitachi, Ltd. High density integration of semiconductor circuit
JPS6216289A (ja) 1985-07-16 1987-01-24 Nec Corp 読出し専用メモリ
US4680738A (en) * 1985-07-30 1987-07-14 Advanced Micro Devices, Inc. Memory with sequential mode
US4658381A (en) * 1985-08-05 1987-04-14 Motorola, Inc. Bit line precharge on a column address change
US4750839A (en) * 1985-08-07 1988-06-14 Texas Instruments Incorporated Semiconductor memory with static column decode and page mode addressing capability
JPS6238593A (ja) * 1985-08-14 1987-02-19 Fujitsu Ltd ダイナミツク型半導体記憶装置
JPS6240693A (ja) * 1985-08-16 1987-02-21 Fujitsu Ltd ニブル・モ−ド機能を有する半導体記憶装置
JPS6251509A (ja) 1985-08-27 1987-03-06 Nec Home Electronics Ltd 移載装置
JPH0736269B2 (ja) * 1985-08-30 1995-04-19 株式会社日立製作所 半導体記憶装置
JPS6265298A (ja) * 1985-09-17 1987-03-24 Fujitsu Ltd Epromの書き込み方式
JPH0638696B2 (ja) 1985-09-20 1994-05-18 株式会社東芝 電力変換装置
US4835733A (en) 1985-09-30 1989-05-30 Sgs-Thomson Microelectronics, Inc. Programmable access memory
JP2664137B2 (ja) * 1985-10-29 1997-10-15 凸版印刷株式会社 Icカード
US4920483A (en) * 1985-11-15 1990-04-24 Data General Corporation A computer memory for accessing any word-sized group of contiguous bits
US4740923A (en) * 1985-11-19 1988-04-26 Hitachi, Ltd Memory circuit and method of controlling the same
US4779089A (en) * 1985-11-27 1988-10-18 Tektronix, Inc. Bus arbitration controller
US4792926A (en) * 1985-12-09 1988-12-20 Kabushiki Kaisha Toshiba High speed memory system for use with a control bus bearing contiguous segmentially intermixed data read and data write request signals
US4858112A (en) * 1985-12-17 1989-08-15 General Electric Company Interface comprising message and protocol processors for interfacing digital data with a bus network
US4748617A (en) 1985-12-20 1988-05-31 Network Systems Corporation Very high-speed digital data bus
GB2187367B (en) * 1986-01-09 1990-03-28 Ricoh Kk Control system for local area network
US4785396A (en) * 1986-01-28 1988-11-15 Intel Corporation Push-pull serial bus coupled to a plurality of devices each having collision detection circuit and arbitration circuit
US4755937A (en) 1986-02-14 1988-07-05 Prime Computer, Inc. Method and apparatus for high bandwidth shared memory
DE3604966C1 (de) * 1986-02-17 1987-04-09 Nixdorf Computer Ag Schaltungsanordnung zum Vermitteln von Binaersignalen,insbesondere PCM-Signalen
JPH0754638B2 (ja) * 1986-02-18 1995-06-07 松下電子工業株式会社 シフトレジスタ
JPS62202537A (ja) 1986-02-19 1987-09-07 Hitachi Ltd 半導体集積回路装置
US4719627A (en) * 1986-03-03 1988-01-12 Unisys Corporation Memory system employing a low DC power gate array for error correction
US4949301A (en) 1986-03-06 1990-08-14 Advanced Micro Devices, Inc. Improved pointer FIFO controller for converting a standard RAM into a simulated dual FIFO by controlling the RAM's address inputs
US4870562A (en) 1986-03-20 1989-09-26 Nec Corporation Microcomputer capable of accessing internal memory at a desired variable access time
US4706166A (en) 1986-04-25 1987-11-10 Irvine Sensors Corporation High-density electronic modules--process and product
CA1293565C (en) 1986-04-28 1991-12-24 Norio Ebihara Semiconductor memory
US4979145A (en) 1986-05-01 1990-12-18 Motorola, Inc. Structure and method for improving high speed data rate in a DRAM
US4825416A (en) * 1986-05-07 1989-04-25 Advanced Micro Devices, Inc. Integrated electronic memory circuit with internal timing and operable in both latch-based and register-based systems
JPS62287499A (ja) * 1986-06-06 1987-12-14 Fujitsu Ltd 半導体メモリ装置
JPH081754B2 (ja) * 1986-06-10 1996-01-10 日本電気株式会社 メモリ回路
EP0249962B1 (de) 1986-06-20 1994-05-04 Hitachi, Ltd. Digitaler Videosignalprozessor
JPS634492A (ja) * 1986-06-23 1988-01-09 Mitsubishi Electric Corp 半導体記憶装置
US4803621A (en) * 1986-07-24 1989-02-07 Sun Microsystems, Inc. Memory access system
US4835674A (en) 1986-07-28 1989-05-30 Bull Hn Information Systems Inc. Computer network system for multiple processing elements
JPS6334795A (ja) 1986-07-29 1988-02-15 Mitsubishi Electric Corp 半導体記憶装置
US5031072A (en) * 1986-08-01 1991-07-09 Texas Instruments Incorporated Baseboard for orthogonal chip mount
US4747100A (en) * 1986-08-11 1988-05-24 Allen-Bradley Company, Inc. Token passing network utilizing active node table
JPS6346864A (ja) 1986-08-14 1988-02-27 Nec Corp フアクシミリ装置
US4845664A (en) * 1986-09-15 1989-07-04 International Business Machines Corp. On-chip bit reordering structure
US5276846A (en) 1986-09-15 1994-01-04 International Business Machines Corporation Fast access memory structure
US4754433A (en) 1986-09-16 1988-06-28 Ibm Corporation Dynamic ram having multiplexed twin I/O line pairs
US4799199A (en) * 1986-09-18 1989-01-17 Motorola, Inc. Bus master having burst transfer mode
US4785394A (en) * 1986-09-19 1988-11-15 Datapoint Corporation Fair arbitration technique for a split transaction bus in a multiprocessor computer system
US4719505A (en) 1986-09-19 1988-01-12 M/A-Com Government Systems, Inc. Color burst regeneration
JPS6391766A (ja) 1986-10-06 1988-04-22 Fujitsu Ltd 記憶装置アクセス制御方式
GB2197553A (en) 1986-10-07 1988-05-18 Western Digital Corp Phase-locked loop delay line
US4922141A (en) 1986-10-07 1990-05-01 Western Digital Corporation Phase-locked loop delay line
EP0265574B1 (de) * 1986-10-30 1992-04-29 International Business Machines Corporation "Daisy-Chain"-Konfiguration für Buszugriff
US4839801A (en) 1986-11-03 1989-06-13 Saxpy Computer Corporation Architecture for block processing computer system
US5140688A (en) 1986-11-10 1992-08-18 Texas Instruments Incorporated GaAs integrated circuit programmable delay line element
JPH01130240A (ja) * 1987-11-16 1989-05-23 Yokogawa Hewlett Packard Ltd データ列発生装置
CA1258912A (en) * 1986-11-20 1989-08-29 Stephen J. King Interactive real-time video processor with zoom, pan and scroll capability
JPS63132365A (ja) * 1986-11-22 1988-06-04 Nec Corp バス調停制御方式
JPS63142445A (ja) 1986-12-05 1988-06-14 Agency Of Ind Science & Technol メモリ装置
JPS63146298A (ja) 1986-12-10 1988-06-18 Mitsubishi Electric Corp 可変語長シフトレジスタ
JPS63276795A (ja) 1986-12-16 1988-11-15 Mitsubishi Electric Corp 可変長シフトレジスタ
EP0272869B1 (de) * 1986-12-19 1993-07-14 Fujitsu Limited Halbleiterspeicher mit Doppelzugriffseinrichtung zur Realisierung eines Lesebetriebs mit hoher Geschwindigkeit
US4764846A (en) * 1987-01-05 1988-08-16 Irvine Sensors Corporation High density electronic package comprising stacked sub-modules
JPS63175287A (ja) * 1987-01-16 1988-07-19 Hitachi Ltd 記憶装置
US5018109A (en) 1987-01-16 1991-05-21 Hitachi, Ltd. Memory including address registers for increasing access speed to the memory
US4821226A (en) 1987-01-30 1989-04-11 Rca Licensing Corporation Dual port video memory system having a bit-serial address input port
US4789960A (en) 1987-01-30 1988-12-06 Rca Licensing Corporation Dual port video memory system having semi-synchronous data input and data output
US4851990A (en) 1987-02-09 1989-07-25 Advanced Micro Devices, Inc. High performance processor interface between a single chip processor and off chip memory means having a dedicated and shared bus structure
US4782439A (en) 1987-02-17 1988-11-01 Intel Corporation Direct memory access system for microcontroller
GB8704315D0 (en) * 1987-02-24 1987-04-01 Int Computers Ltd Data display apparatus
JPS63142445U (de) 1987-03-10 1988-09-20
US5038320A (en) * 1987-03-13 1991-08-06 International Business Machines Corp. Computer system with automatic initialization of pluggable option cards
US5056060A (en) * 1987-03-13 1991-10-08 Apple Computer, Inc. Printed circuit card with self-configuring memory system for non-contentious allocation of reserved memory space among expansion cards
DE3870680D1 (de) 1987-03-20 1992-06-11 Hitachi Ltd Taktsignal-versorgungssystem.
US5184027A (en) 1987-03-20 1993-02-02 Hitachi, Ltd. Clock signal supply system
US4837682A (en) * 1987-04-07 1989-06-06 Glen Culler & Associates Bus arbitration system and method
US4858113A (en) 1987-04-10 1989-08-15 The United States Of America As Represented By The Director Of The National Security Agency Reconfigurable pipelined processor
KR960009249B1 (ko) * 1987-04-24 1996-07-16 미다 가쓰시게 반도체 메모리
US5133064A (en) 1987-04-27 1992-07-21 Hitachi, Ltd. Data processing system generating clock signal from an input clock, phase locked to the input clock and used for clocking logic devices
JPS63271679A (ja) * 1987-04-30 1988-11-09 Toshiba Corp デ−タ書込み方式
US4937733A (en) * 1987-05-01 1990-06-26 Digital Equipment Corporation Method and apparatus for assuring adequate access to system resources by processors in a multiprocessor computer system
JPS63281519A (ja) * 1987-05-13 1988-11-18 Noboru Yamaguchi 同期クロック信号発生装置
US4805198A (en) * 1987-05-19 1989-02-14 Crystal Semiconductor Corporation Clock multiplier/jitter attenuator
US4761567A (en) 1987-05-20 1988-08-02 Advanced Micro Devices, Inc. Clock scheme for VLSI systems
US4817058A (en) * 1987-05-21 1989-03-28 Texas Instruments Incorporated Multiple input/output read/write memory having a multiple-cycle write mask
JPS63296292A (ja) * 1987-05-27 1988-12-02 Mitsubishi Electric Corp 半導体装置
JPS63304491A (ja) * 1987-06-04 1988-12-12 Mitsubishi Electric Corp 半導体メモリ
US4785428A (en) 1987-06-18 1988-11-15 Intel Corporation Programmable memory array control signals
JPS6429951A (en) 1987-07-24 1989-01-31 Hitachi Ltd Storage system
US4807189A (en) * 1987-08-05 1989-02-21 Texas Instruments Incorporated Read/write memory having a multiple column select mode
JP2714944B2 (ja) 1987-08-05 1998-02-16 三菱電機株式会社 半導体記憶装置
JP2590122B2 (ja) * 1987-08-07 1997-03-12 富士通株式会社 半導体メモリ
JP2679994B2 (ja) * 1987-08-14 1997-11-19 株式会社日立製作所 ベクトル処理装置
US4845677A (en) 1987-08-17 1989-07-04 International Business Machines Corporation Pipelined memory chip structure having improved cycle time
JPS6429951U (de) 1987-08-17 1989-02-22
US4930065A (en) 1987-08-20 1990-05-29 David Computer Corporation Automatic data channels for a computer system
JPH0671241B2 (ja) 1987-09-10 1994-09-07 株式会社ケンウッド スペクトラム拡散通信の初期同期方式
US5179687A (en) * 1987-09-26 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device containing a cache and an operation method thereof
JPS6488662A (en) 1987-09-29 1989-04-03 Fujitsu Ltd Semiconductor memory
JP2701030B2 (ja) * 1987-10-09 1998-01-21 株式会社日立製作所 高速記憶装置の書込制御回路
US5051889A (en) * 1987-10-23 1991-09-24 Chips And Technologies, Incorporated Page interleaved memory access
US5040153A (en) * 1987-10-23 1991-08-13 Chips And Technologies, Incorporated Addressing multiple types of memory devices
US4964038A (en) * 1987-10-28 1990-10-16 International Business Machines Corp. Data processing system having automatic address allocation arrangements for addressing interface cards
KR970008786B1 (ko) 1987-11-02 1997-05-29 가부시기가이샤 히다찌세이사꾸쇼 반도체 집적회로
US5226147A (en) * 1987-11-06 1993-07-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device for simple cache system
US4947484A (en) * 1987-11-10 1990-08-07 Echelon Systems Corporation Protocol for network having a plurality of intelligent cells
US4920486A (en) * 1987-11-23 1990-04-24 Digital Equipment Corporation Distributed arbitration apparatus and method for shared bus
US4855809A (en) * 1987-11-24 1989-08-08 Texas Instruments Incorporated Orthogonal chip mount system module and method
JPH01146187A (ja) 1987-12-02 1989-06-08 Mitsubishi Electric Corp キヤッシュメモリ内蔵半導体記憶装置
JPH01236494A (ja) 1988-03-17 1989-09-21 Hitachi Ltd 半導体集積回路装置
US5014242A (en) * 1987-12-10 1991-05-07 Hitachi, Ltd. Semiconductor device for a ram disposed on chip so as to minimize distances of signal paths between the logic circuits and memory circuit
US6112287A (en) * 1993-03-01 2000-08-29 Busless Computers Sarl Shared memory multiprocessor system using a set of serial links as processors-memory switch
US4878166A (en) 1987-12-15 1989-10-31 Advanced Micro Devices, Inc. Direct memory access apparatus and methods for transferring data between buses having different performance characteristics
JPH01166545A (ja) * 1987-12-22 1989-06-30 Nec Corp ジグザグ型ic
US5093807A (en) 1987-12-23 1992-03-03 Texas Instruments Incorporated Video frame storage system
US4954992A (en) * 1987-12-24 1990-09-04 Mitsubishi Denki Kabushiki Kaisha Random access memory having separate read out and write in bus lines for reduced access time and operating method therefor
US4959816A (en) * 1987-12-28 1990-09-25 Kabushiki Kaisha Toshiba Semiconductor integrated circuit
US5200926A (en) * 1987-12-28 1993-04-06 Kabushiki Kaisha Toshiba Semiconductor integrated circuit
US5157776A (en) 1987-12-30 1992-10-20 Zenith Data Systems Corporation High speed memory for microcomputer systems
JPH0821234B2 (ja) * 1988-01-14 1996-03-04 三菱電機株式会社 ダイナミック型半導体記憶装置およびその制御方法
US4873671A (en) 1988-01-28 1989-10-10 National Semiconductor Corporation Sequential read access of serial memories with a user defined starting address
US4916670A (en) * 1988-02-02 1990-04-10 Fujitsu Limited Semiconductor memory device having function of generating write signal internally
US5101117A (en) 1988-02-17 1992-03-31 Mips Computer Systems Variable delay line phase-locked loop circuit synchronization system
IL89120A (en) 1988-02-17 1992-08-18 Mips Computer Systems Inc Circuit synchronization system
JPH0786853B2 (ja) * 1988-02-29 1995-09-20 株式会社ピーエフユー バス転送制御方式
US4975763A (en) * 1988-03-14 1990-12-04 Texas Instruments Incorporated Edge-mounted, surface-mount package for semiconductor integrated circuit devices
JPH021671A (ja) * 1988-03-17 1990-01-05 Toshiba Corp パケット交換機の負荷制御方式
JP2923786B2 (ja) 1988-03-18 1999-07-26 日立マクセル株式会社 半導体ファイルメモリ及びそれを用いる記憶システム
US4811364A (en) 1988-04-01 1989-03-07 Digital Equipment Corporation Method and apparatus for stabilized data transmission
US5220673A (en) * 1988-04-14 1993-06-15 Zilog, Inc. Device and method for programming critical hardware parameters
US5193199A (en) * 1988-04-14 1993-03-09 Zilog, Inc. Device and method for programming critical hardware parameters
CA1301261C (en) * 1988-04-27 1992-05-19 Wayne D. Grover Method and apparatus for clock distribution and for distributed clock synchronization
US5301278A (en) * 1988-04-29 1994-04-05 International Business Machines Corporation Flexible dynamic memory controller
JPH0212541A (ja) * 1988-04-29 1990-01-17 Internatl Business Mach Corp <Ibm> コンピユーテイング・システム及びその動作方法
US5029124A (en) 1988-05-17 1991-07-02 Digital Equipment Corporation Method and apparatus for providing high speed parallel transfer of bursts of data
JP2818415B2 (ja) * 1988-05-18 1998-10-30 日本電気株式会社 バッファ記憶装置
US5254880A (en) * 1988-05-25 1993-10-19 Hitachi, Ltd. Large scale integrated circuit having low internal operating voltage
US5034917A (en) * 1988-05-26 1991-07-23 Bland Patrick M Computer system including a page mode memory with decreased access time and method of operation thereof
DK163397C (da) 1988-06-24 1992-07-13 Nordiske Kabel Traad Fremgangsmaade ved regulering af en taktgenerators fase i forhold til et datasignal
US4870622A (en) 1988-06-24 1989-09-26 Advanced Micro Devices, Inc. DRAM controller cache
US5134699A (en) 1988-06-24 1992-07-28 Advanced Micro Devices, Inc. Programmable burst data transfer apparatus and technique
US5210715A (en) * 1988-06-27 1993-05-11 Texas Instruments Incorporated Memory circuit with extended valid data output time
US4953130A (en) * 1988-06-27 1990-08-28 Texas Instruments, Incorporated Memory circuit with extended valid data output time
US5404327A (en) * 1988-06-30 1995-04-04 Texas Instruments Incorporated Memory device with end of cycle precharge utilizing write signal and data transition detectors
JP2534757B2 (ja) * 1988-07-06 1996-09-18 株式会社東芝 リフレッシュ回路
US5111423A (en) * 1988-07-21 1992-05-05 Altera Corporation Programmable interface for computer system peripheral circuit card
US5038317A (en) * 1988-07-25 1991-08-06 Allen-Bradley Company, Inc. Programmable controller module rack with a relative rack slot addressing mechanism
US4912630A (en) 1988-07-29 1990-03-27 Ncr Corporation Cache address comparator with sram having burst addressing control
US4939510A (en) * 1988-08-22 1990-07-03 E-Systems, Inc. Broadband communications RF packet collision detection
JP2574474B2 (ja) 1988-08-23 1997-01-22 富士通株式会社 光モジュール
JPH0697614B2 (ja) 1988-08-26 1994-11-30 エヌ・イーケムキャット株式会社 担持白金合金電極触媒
US5247518A (en) * 1988-09-02 1993-09-21 Hitachi, Ltd. High-speed ring lan system
US5206833A (en) * 1988-09-12 1993-04-27 Acer Incorporated Pipelined dual port RAM
JP2633645B2 (ja) * 1988-09-13 1997-07-23 株式会社東芝 半導体メモリ装置
US5193193A (en) 1988-09-14 1993-03-09 Silicon Graphics, Inc. Bus control system for arbitrating requests with predetermined on/off time limitations
US5179667A (en) 1988-09-14 1993-01-12 Silicon Graphics, Inc. Synchronized DRAM control apparatus using two different clock rates
JPH0283891A (ja) * 1988-09-20 1990-03-23 Fujitsu Ltd 半導体メモリ
FI81225C (fi) 1988-09-30 1990-09-10 Kone Oy Foerfarande och anordning foer att saenda meddelande i binaerform i en serietrafikbuss.
US4932002A (en) * 1988-09-30 1990-06-05 Texas Instruments, Incorporated Bit line latch sense amp
US5138434A (en) * 1991-01-22 1992-08-11 Micron Technology, Inc. Packaging for semiconductor logic devices
US4975877A (en) * 1988-10-20 1990-12-04 Logic Devices Incorporated Static semiconductor memory with improved write recovery and column address circuitry
US5006982A (en) 1988-10-21 1991-04-09 Siemens Ak. Method of increasing the bandwidth of a packet bus by reordering reply packets
US4956694A (en) * 1988-11-04 1990-09-11 Dense-Pac Microsystems, Inc. Integrated circuit chip stacking
JP2674809B2 (ja) * 1988-11-07 1997-11-12 日本電気株式会社 情報処理装置
US5034964A (en) 1988-11-08 1991-07-23 Tandem Computers Incorporated N:1 time-voltage matrix encoded I/O transmission system
US4975872A (en) * 1988-11-17 1990-12-04 Matsushita Electric Industrial Co., Ltd. Dual port memory device with tag bit marking
US5148523A (en) * 1988-11-29 1992-09-15 Solbourne Computer, Inc. Dynamic video RAM incorporationg on chip line modification
US5142637A (en) * 1988-11-29 1992-08-25 Solbourne Computer, Inc. Dynamic video RAM incorporating single clock random port control
US5023838A (en) 1988-12-02 1991-06-11 Ncr Corporation Random access memory device with integral logic capability
US5142376A (en) 1988-12-16 1992-08-25 Canon Kabushiki Kaisha Image signal recording and reproducing system with pilot signal phase-locked with a synchronizing signal
US5018111A (en) 1988-12-27 1991-05-21 Intel Corporation Timing circuit for memory employing reset function
US4982400A (en) * 1988-12-29 1991-01-01 Intel Corporation Ring bus hub for a star local area network
US4864563A (en) 1989-01-09 1989-09-05 E-Systems, Inc. Method for establishing and maintaining a nodal network in a communication system
US5123100A (en) 1989-01-13 1992-06-16 Nec Corporation Timing control method in a common bus system having delay and phase correcting circuits for transferring data in synchronization and time division slot among a plurality of transferring units
US5129069A (en) * 1989-01-24 1992-07-07 Zenith Data Systems Corporation Method and apparatus for automatic memory configuration by a computer
JPH02210685A (ja) * 1989-02-10 1990-08-22 Tokyo Electric Co Ltd Dramコントローラ
US4937734A (en) * 1989-02-21 1990-06-26 Sun Microsystems, Inc. High speed bus with virtual memory data transfer and rerun cycle capability
US5099481A (en) 1989-02-28 1992-03-24 Integrated Device Technology, Inc. Registered RAM array with parallel and serial interface
US5111486A (en) 1989-03-15 1992-05-05 Motorola, Inc. Bit synchronizer
JPH02246151A (ja) * 1989-03-20 1990-10-01 Hitachi Ltd 抵抗手段と論理回路、入力回路、ヒューズ切断回路、駆動回路、電源回路、静電保護回路及びこれらを含む半導体記憶装置ならびにそのレイアウト方式及びテスト方式
US4998069A (en) * 1989-03-31 1991-03-05 Tandem Computers Incorporated Loopback tester for testing field replaceable units
CA2011935A1 (en) * 1989-04-07 1990-10-07 Desiree A. Awiszio Dual-path computer interconnect system with four-ported packet memory control
US4940909A (en) * 1989-05-12 1990-07-10 Plus Logic, Inc. Configuration control circuit for programmable logic devices
US5114423A (en) * 1989-05-15 1992-05-19 Advanced Cardiovascular Systems, Inc. Dilatation catheter assembly with heated balloon
US5001672A (en) 1989-05-16 1991-03-19 International Business Machines Corporation Video ram with external select of active serial access register
US5175822A (en) * 1989-06-19 1992-12-29 International Business Machines Corporation Apparatus and method for assigning addresses to scsi supported peripheral devices
EP0405411B1 (de) * 1989-06-26 1995-11-15 Nec Corporation Halbleiterspeicher mit einem verbesserten Datenleseschema
US4901036A (en) 1989-06-29 1990-02-13 Motorola, Inc. Frequency synthesizer with an interface controller and buffer memory
US4954987A (en) * 1989-07-17 1990-09-04 Advanced Micro Devices, Inc. Interleaved sensing system for FIFO and burst-mode memories
CA2017458C (en) * 1989-07-24 2000-10-10 Jonathan R. Engdahl Intelligent network interface circuit
KR940008295B1 (ko) * 1989-08-28 1994-09-10 가부시기가이샤 히다찌세이사꾸쇼 반도체메모리
JPH0394350A (ja) * 1989-09-07 1991-04-19 Nec Corp 半導体記憶装置
US5163131A (en) * 1989-09-08 1992-11-10 Auspex Systems, Inc. Parallel i/o network file server architecture
US5107465A (en) 1989-09-13 1992-04-21 Advanced Micro Devices, Inc. Asynchronous/synchronous pipeline dual mode memory access circuit and method
US4970418A (en) * 1989-09-26 1990-11-13 Apple Computer, Inc. Programmable memory state machine for providing variable clocking to a multimode memory
JP2982905B2 (ja) * 1989-10-02 1999-11-29 三菱電機株式会社 ダイナミック型半導体記憶装置
US4998262A (en) * 1989-10-10 1991-03-05 Hewlett-Packard Company Generation of topology independent reference signals
US5121382A (en) * 1989-10-11 1992-06-09 Digital Equipment Corporation Station-to-station full duplex communication in a communications network
US5058132A (en) 1989-10-26 1991-10-15 National Semiconductor Corporation Clock distribution system and technique
US5448744A (en) * 1989-11-06 1995-09-05 Motorola, Inc. Integrated circuit microprocessor with programmable chip select logic
GB8925723D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
EP0429252B1 (de) * 1989-11-17 1998-01-14 Digital Equipment Corporation System und Verfahren zur Speicherung von Firmware in einem adressunabhängigen Format
US5111464A (en) * 1989-12-01 1992-05-05 Mips Computer Systems, Inc. Interrupt reporting for single-bit memory errors
US5179670A (en) * 1989-12-01 1993-01-12 Mips Computer Systems, Inc. Slot determination mechanism using pulse counting
US5278974A (en) * 1989-12-04 1994-01-11 Digital Equipment Corporation Method and apparatus for the dynamic adjustment of data transfer timing to equalize the bandwidths of two buses in a computer system having different bandwidths
US4998222A (en) 1989-12-04 1991-03-05 Nec Electronics Inc. Dynamic random access memory with internally gated RAS
US5175831A (en) * 1989-12-05 1992-12-29 Zilog, Inc. System register initialization technique employing a non-volatile/read only memory
EP0433818B1 (de) * 1989-12-19 1998-11-11 3Com Corporation Konfigurationsverfahren für eine Rechnerbus-Adapterkarte ohne Brücken oder Schalter
US5036495A (en) 1989-12-28 1991-07-30 International Business Machines Corp. Multiple mode-set for IC chip
US5175835A (en) * 1990-01-10 1992-12-29 Unisys Corporation Multi-mode DRAM controller
US5021985A (en) 1990-01-19 1991-06-04 Weitek Corporation Variable latency method and apparatus for floating-point coprocessor
US5107491A (en) 1990-02-20 1992-04-21 Advanced Micro Devices, Inc. Collision filter
US5012408A (en) * 1990-03-15 1991-04-30 Digital Equipment Corporation Memory array addressing system for computer systems with multiple memory arrays
US5301155A (en) * 1990-03-20 1994-04-05 Mitsubishi Denki Kabushiki Kaisha Multiblock semiconduction storage device including simultaneous operation of a plurality of block defect determination circuits
KR100236876B1 (ko) * 1990-03-28 2000-01-15 가나이 쓰도무 신호의 스윙을 저감하는 cmos 회로
EP0449052A3 (en) 1990-03-29 1993-02-24 National Semiconductor Corporation Parity test method and apparatus for a memory chip
US5023488A (en) * 1990-03-30 1991-06-11 Xerox Corporation Drivers and receivers for interfacing VLSI CMOS circuits to transmission lines
JP2938511B2 (ja) * 1990-03-30 1999-08-23 三菱電機株式会社 半導体記憶装置
US5181205A (en) * 1990-04-10 1993-01-19 National Semiconductor Corporation Short circuit detector circuit for memory arrays
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US6324120B2 (en) * 1990-04-18 2001-11-27 Rambus Inc. Memory device having a variable data output length
US5243703A (en) * 1990-04-18 1993-09-07 Rambus, Inc. Apparatus for synchronously generating clock signals in a data processing system
US5384501A (en) * 1990-06-15 1995-01-24 Kabushiki Kaisha Toshiba Integration circuit including a differential amplifier having a variable transconductance
US5077693A (en) * 1990-08-06 1991-12-31 Motorola, Inc. Dynamic random access memory
US5270973A (en) * 1990-08-06 1993-12-14 Texas Instruments Incorporated Video random access memory having a split register and a multiplexer
US6249481B1 (en) * 1991-10-15 2001-06-19 Kabushiki Kaisha Toshiba Semiconductor memory device
US5198995A (en) * 1990-10-30 1993-03-30 International Business Machines Corporation Trench-capacitor-one-transistor storage cell and array for dynamic random access memories
JP3992757B2 (ja) 1991-04-23 2007-10-17 テキサス インスツルメンツ インコーポレイテツド マイクロプロセッサと同期するメモリ、及びデータプロセッサ、同期メモリ、周辺装置とシステムクロックを含むシステム
US5392292A (en) * 1991-06-27 1995-02-21 Cray Research, Inc. Configurable spare memory chips
GB2260631B (en) * 1991-10-17 1995-06-28 Intel Corp Microprocessor 2X core design
US5297091A (en) * 1991-10-31 1994-03-22 International Business Machines Corporation Early row address strobe (RAS) precharge
US5276641A (en) * 1991-12-12 1994-01-04 International Business Machines Corporation Hybrid open folded sense amplifier architecture for a memory device
JP3481263B2 (ja) * 1992-02-19 2003-12-22 株式会社リコー シリアル記憶装置
US5257232A (en) * 1992-03-05 1993-10-26 International Business Machines Corporation Sensing circuit for semiconductor memory with limited bitline voltage swing
US5325516A (en) * 1992-03-09 1994-06-28 Chips And Technologies Inc. Processor system with dual clock
JPH05274879A (ja) * 1992-03-26 1993-10-22 Nec Corp 半導体装置
US5254883A (en) * 1992-04-22 1993-10-19 Rambus, Inc. Electrical current source circuitry for a bus
US5384745A (en) * 1992-04-27 1995-01-24 Mitsubishi Denki Kabushiki Kaisha Synchronous semiconductor memory device
JP2892216B2 (ja) * 1992-05-22 1999-05-17 株式会社東芝 半導体メモリ
FR2694121B1 (fr) * 1992-07-24 1995-09-22 Sgs Thomson Microelectronics Memoire en circuit integre avec prechaarge prealable en sortie.
KR960001859B1 (ko) * 1993-04-16 1996-02-06 삼성전자주식회사 반도체 메모리장치의 디코딩회로 및 그 방법
US5511024A (en) * 1993-06-02 1996-04-23 Rambus, Inc. Dynamic random access memory system
KR960006271B1 (ko) * 1993-08-14 1996-05-13 삼성전자주식회사 고속동작을 위한 입출력라인구동방식을 가지는 반도체메모리장치
US5497347A (en) * 1994-06-21 1996-03-05 Motorola Inc. BICMOS cache TAG comparator having redundancy and separate read an compare paths
US5594937A (en) * 1994-09-02 1997-01-14 Ghz Equipment Company System for the transmission and reception of directional radio signals utilizing a gigahertz implosion concept
JP2630277B2 (ja) * 1994-10-24 1997-07-16 日本電気株式会社 半導体記憶装置
JP3739104B2 (ja) * 1995-02-27 2006-01-25 株式会社ルネサステクノロジ 不揮発性半導体記憶装置
US5636173A (en) * 1995-06-07 1997-06-03 Micron Technology, Inc. Auto-precharge during bank selection
US5873114A (en) * 1995-08-18 1999-02-16 Advanced Micro Devices, Inc. Integrated processor and memory control unit including refresh queue logic for refreshing DRAM during idle cycles
US5604705A (en) * 1995-08-22 1997-02-18 Lucent Technologies Inc. Static random access memory sense amplifier
JPH09171486A (ja) * 1995-10-16 1997-06-30 Seiko Epson Corp Pcカード
US5860125A (en) * 1995-11-08 1999-01-12 Advanced Micro Devices, Inc. Integrated circuit including a real time clock, configuration RAM, and memory controller in a core section which receives an asynchronous partial reset and an asynchronous master reset
US5841707A (en) * 1995-11-29 1998-11-24 Texas Instruments Incorporated Apparatus and method for a programmable interval timing generator in a semiconductor memory
US5712882A (en) * 1996-01-03 1998-01-27 Credence Systems Corporation Signal distribution system
US5657841A (en) * 1996-03-04 1997-08-19 Morvan; Jacques Extension cord reel assembly with ground fault interrupt outlets
US5742798A (en) * 1996-08-09 1998-04-21 International Business Machines Corporation Compensation of chip to chip clock skew
KR100204810B1 (ko) * 1996-09-13 1999-06-15 윤종용 소거블럭사이즈를 가변시킬 수 있는 반도체 메모리장치
US5953263A (en) * 1997-02-10 1999-09-14 Rambus Inc. Synchronous memory device having a programmable register and method of controlling same
US5825710A (en) * 1997-02-26 1998-10-20 Powerchip Semiconductor Corp. Synchronous semiconductor memory device
JP3504104B2 (ja) * 1997-04-03 2004-03-08 富士通株式会社 シンクロナスdram
US5881016A (en) * 1997-06-13 1999-03-09 Cirrus Logic, Inc. Method and apparatus for optimizing power consumption and memory bandwidth in a video controller using SGRAM and SDRAM power reduction modes
JP3247639B2 (ja) * 1997-08-07 2002-01-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体メモリ、半導体メモリのデータ読み出し方法及び書き込み方法
KR100281898B1 (ko) * 1998-07-21 2001-02-15 윤종용 데이터의 듀티 사이클을 보정하는 듀티 사이클 보정회로 및 그방법

Also Published As

Publication number Publication date
DE69133565T2 (de) 2007-07-05
DE69132501D1 (de) 2001-02-08
EP1640847B1 (de) 2007-06-13
US20020015351A1 (en) 2002-02-07
US5638334A (en) 1997-06-10
EP1816569A2 (de) 2007-08-08
US6070222A (en) 2000-05-30
DE69132721D1 (de) 2001-10-11
IL96808A0 (en) 1991-09-16
US5319755A (en) 1994-06-07
DE69132721T2 (de) 2002-05-29
KR100201057B1 (ko) 1999-06-15
JPH05507374A (ja) 1993-10-21
JP2003203008A (ja) 2003-07-18
DE69133611D1 (de) 2009-02-26
US20020004867A1 (en) 2002-01-10
EP1640847A2 (de) 2006-03-29
US20010030904A1 (en) 2001-10-18
US20020046314A1 (en) 2002-04-18
US6975558B2 (en) 2005-12-13
EP1816569A3 (de) 2007-09-26
US5841715A (en) 1998-11-24
DE69133598D1 (de) 2008-08-07
DE69132501T2 (de) 2001-08-23
US5513327A (en) 1996-04-30
US5841580A (en) 1998-11-24
DE69133565T3 (de) 2015-10-08
DE69133572T2 (de) 2008-02-14
US6598171B1 (en) 2003-07-22
EP1022642B1 (de) 2001-09-05
US5606717A (en) 1997-02-25
IL96808A (en) 1996-03-31
EP1816570A2 (de) 2007-08-08
DE1022642T1 (de) 2001-01-11
US5473575A (en) 1995-12-05
US7110322B2 (en) 2006-09-19
US6038195A (en) 2000-03-14
US6546446B2 (en) 2003-04-08
EP1197830B1 (de) 2006-10-04
US6182184B1 (en) 2001-01-30
US20020016876A1 (en) 2002-02-07
IL110649A0 (en) 1994-11-11
US6266285B1 (en) 2001-07-24
EP1816569B1 (de) 2009-01-07
DE69133500D1 (de) 2006-03-30
US5657481A (en) 1997-08-12
JP3550143B2 (ja) 2004-08-04
DE69132501T3 (de) 2009-09-03
US6314051B1 (en) 2001-11-06
DE69133500T2 (de) 2006-07-27
US20020001253A1 (en) 2002-01-03
US6085284A (en) 2000-07-04
EP1816570A3 (de) 2007-08-15
EP1197830A3 (de) 2005-09-21
DE69133572D1 (de) 2007-07-26
EP0525068A1 (de) 1993-02-03
US5809263A (en) 1998-09-15
US6185644B1 (en) 2001-02-06
US20050141332A1 (en) 2005-06-30
US5499385A (en) 1996-03-12
IL110648A0 (en) 1994-11-11
US6034918A (en) 2000-03-07
US20040114454A1 (en) 2004-06-17
US20050030802A1 (en) 2005-02-10
US6452863B2 (en) 2002-09-17
US20020091890A1 (en) 2002-07-11
DE00100018T1 (de) 2005-12-29
DE02000378T1 (de) 2005-12-29
EP1830241A1 (de) 2007-09-05
DE69133550D1 (de) 2006-11-16
EP0525068A4 (en) 1995-09-20
JP2001273765A (ja) 2001-10-05
EP0525068B1 (de) 2000-04-19
US6304937B1 (en) 2001-10-16
US6101152A (en) 2000-08-08
DE69133565D1 (de) 2007-04-19
US6415339B1 (en) 2002-07-02
US6035365A (en) 2000-03-07
US6570814B2 (en) 2003-05-27
US6584037B2 (en) 2003-06-24
DE69132121T2 (de) 2000-09-21
JP3404383B2 (ja) 2003-05-06
US6067592A (en) 2000-05-23
US5915105A (en) 1999-06-22
US20020141281A1 (en) 2002-10-03
WO1991016680A1 (en) 1991-10-31
JP3414393B2 (ja) 2003-06-09
US6044426A (en) 2000-03-28
US6564281B2 (en) 2003-05-13
EP1197830A2 (de) 2002-04-17
US20050033903A1 (en) 2005-02-10
DE06125946T1 (de) 2007-11-22
US5983320A (en) 1999-11-09
IL110650A0 (en) 1994-11-11
US6032214A (en) 2000-02-29
US5408129A (en) 1995-04-18
US6697295B2 (en) 2004-02-24
US5954804A (en) 1999-09-21
EP1830241B1 (de) 2008-06-25
EP1022642A1 (de) 2000-07-26
US6128696A (en) 2000-10-03
US6378020B2 (en) 2002-04-23
US6032215A (en) 2000-02-29
US5928343A (en) 1999-07-27
US6513081B2 (en) 2003-01-28
US6049846A (en) 2000-04-11
EP1640847A3 (de) 2006-05-31
DE06125958T1 (de) 2007-11-22
DE69132121D1 (de) 2000-05-25
DE06125954T1 (de) 2007-11-22
US6260097B1 (en) 2001-07-10

Similar Documents

Publication Publication Date Title
DE69133550T2 (de) Eingang/Ausgang einer integrierten Schaltung mit einer Hochleistungsbusschnittstelle
US5995443A (en) Synchronous memory device
US6324120B2 (en) Memory device having a variable data output length
US5243703A (en) Apparatus for synchronously generating clock signals in a data processing system
US6807598B2 (en) Integrated circuit device having double data rate capability
DE102012204991B4 (de) Speichereinrichtungen, Systeme und Verfahren unter Verwendung einer Befehls/Adressenkalibrierung
EP0994420B1 (de) DRAM Halbleiter Vorrichtung
IL110648A (en) Install a clock signal generator for a semiconductor circuit

Legal Events

Date Code Title Description
8363 Opposition against the patent
8328 Change in the person/name/address of the agent

Representative=s name: EISENFUEHR, SPEISER & PARTNER, 28195 BREMEN