US20090172351A1 - Data processing device and method - Google Patents

Data processing device and method Download PDF

Info

Publication number
US20090172351A1
US20090172351A1 US12/389,274 US38927409A US2009172351A1 US 20090172351 A1 US20090172351 A1 US 20090172351A1 US 38927409 A US38927409 A US 38927409A US 2009172351 A1 US2009172351 A1 US 2009172351A1
Authority
US
United States
Prior art keywords
input
configurable
output
data
register
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/389,274
Other versions
US8812820B2 (en
Inventor
Martin Vorbach
Alexander Thomas
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Scientia Sol Mentis AG
Original Assignee
KRASS MAREN
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE10357284A external-priority patent/DE10357284A1/en
Application filed by KRASS MAREN filed Critical KRASS MAREN
Priority to US12/389,274 priority Critical patent/US8812820B2/en
Publication of US20090172351A1 publication Critical patent/US20090172351A1/en
Assigned to KRASS, MAREN, RICHTER, THOMAS reassignment KRASS, MAREN ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PACT XPP TECHNOLOGIES AG
Assigned to PACT XPP TECHNOLOGIES AG reassignment PACT XPP TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KRASS, MAREN, RICHTER, THOMAS
Application granted granted Critical
Priority to US14/462,858 priority patent/US20140359255A1/en
Publication of US8812820B2 publication Critical patent/US8812820B2/en
Assigned to SCIENTIA SOL MENTIS AG reassignment SCIENTIA SOL MENTIS AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PACT XPP TECHNOLOGIES AG
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • G06F15/8007Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors single instruction multiple data [SIMD] multiprocessors
    • G06F15/8023Two dimensional arrays, e.g. mesh, torus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17337Direct connection machines, e.g. completely connected computers, point to point communication networks
    • G06F15/17343Direct connection machines, e.g. completely connected computers, point to point communication networks wherein the interconnection is dynamically configurable, e.g. having loosely coupled nearest neighbor architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17356Indirect interconnection networks
    • G06F15/17368Indirect interconnection networks non hierarchical topologies
    • G06F15/17381Two dimensional, e.g. mesh, torus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • G06F15/8007Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors single instruction multiple data [SIMD] multiprocessors
    • G06F15/803Three-dimensional arrays or hypercubes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • G06F9/3893Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator
    • G06F9/3895Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros
    • G06F9/3897Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros with adaptable data path
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • the present invention relates to reconfigurable computing.
  • the present invention relates to improvements in the architecture of reconfigurable devices.
  • Reconfigurable data processing arrays are known in the art. Reference is being made to the previous applications and/or publications of the present applicant/assignee all of which are incorporated herein by way of reference. Accordingly, the devices described hereinafter may be multidimensional (n>1) arrays comprising coarse grained computing and/or data operation elements allowing for runtime reconfiguration of the entire array or parts thereof, preferably in response to a signal indicating reconfigurability to a loading unit (CT, CM or the like).
  • a first way to improve the known devices is to improve the functionality of each single processor element. It has been previously suggested to include a ring-memory (RINGSPEICHER) in the array, to store instructions in the ring-memory and to provide a pointer that points to one of the ring-memory addresses so as to select an instruction to be carried out next. Furthermore, it has been suggested to provide at least one “shadow configuration” and to switch over between several configurations/shadow configurations. Another or additional suggestions has been designated as “wave reconfiguration”.
  • FIG. 1 shows an ALU-PAE architecture
  • FIG. 2 shows function folding
  • FIG. 3 shows a sequencer model
  • FIG. 4 shows Method A: FF PAE program pointer.
  • FIG. 5 shows Method B: FF PAE program pointer.
  • FIG. 6 shows a test logic
  • FIG. 7 shows an overview of the entire circuitry of FIGS. 5 and 6 .
  • FIG. 8 shows a VPU architecture
  • FIG. 9 shows a PAE implementation.
  • FIG. 10 shows a bus system
  • FIG. 11 shows a modified PAE structure.
  • FIG. 12 shows an ALU-PAE having inputs and outputs in both directions.
  • FIG. 13 shows a modified bus system
  • FIG. 14 shows a PAE exchanging data without latency.
  • FIG. 15 shows an optimised PAE arrangement.
  • FIG. 16 shows superscalar FF ALU-PAEs.
  • FIG. 17 shows a datapath architecture
  • FIG. 18 shows another datapath architecture.
  • FIG. 19 shows a configurable sequencer
  • FIG. 20 shows an enhanced version of an ALU-PAE.
  • FIG. 21 shows an overview of the RAM-PAE.
  • FIG. 22 shows PAE Structure and Arrangement V2.0 and PAE Structure and Arrangement V2.2.
  • FIG. 23 shows an enhanced DF or Bypass (PB) part within the PAEs.
  • FIG. 24 shows an enhancement of RAM-PAEs.
  • FIG. 25 shows a memory organization
  • FIG. 26 shows another memory organization.
  • FIG. 27 shows address generators.
  • FIG. 28 shows a parameter stack mode
  • FIG. 29 shows multi-config mode.
  • FIG. 30 shows multi-config mode for long configurations.
  • FIG. 31 shows parameter broadcast and update.
  • FIG. 32 shows code bank, data bank, stack bank, and auxiliary bank.
  • FIG. 33 shows the memory layout
  • FIG. 34 shows the memory layout
  • FIG. 35 shows an advanced valid checking scheme.
  • FIGS. 36 to 44 show an improved way of routing.
  • FIG. 45 shows a simplified structure of an XPP array.
  • FIG. 46 shows a function folding processing element.
  • FIG. 47 shows an address generation data flow graph.
  • FIG. 48 shows an extended XPP tool flow.
  • FIGS. 49 a and 49 b show a complex FIR filter cell.
  • FIG. 50 shows data and control flow.
  • FIG. 51 shows a PAE-like Petri-Net.
  • FIG. 52 shows data duplication in the output path of the Petri-Net.
  • a PAE might e.g. comprise 4 input ports 100 and 4 output ports 104 .
  • Embedded with each PAE is the FREG path newly named DF 135 with its dataflow capabilities, like MERGE, SWAP, DEMUX as well as ELUT.
  • Ri 2 and Ri 3 are typically fed to the DF path which output is Ro 2 and Ro 3 .
  • Ri 2 and Ri 3 can serve as inputs for the ALU as well. This extension is needed to provide a suitable amount of ALU inputs if Function Folding (as described later) is used.
  • each data register (Ri or Ro) is an event port (Ei or Eo).
  • SIMD operation is implemented in the ALUs to support 8 and 16 bit wide data words for i.e. graphics and imaging.
  • each PAE operates as a data flow node as defined by Petri-Nets. (Some arrays might have parts that have other functions and should thus be not considered as a standard PAE).
  • a Petri-Net supports a calculation of multiple inputs 5200 and produces one single output 5202 . Special for a Petri-Net is that the operation is delayed until all input data is available.
  • the quantity of data—and events is defined by the data and control flow, the availability is displayed at runtime by the handshake protocol RDY/ACK.
  • the thick arbor indicates the operation
  • the dot on the right side indicates that the operation is delayed until all inputs 5300 are available.
  • Enhancing the basic methodology function folding supports multiple operations—maybe even sequential—instead of one, defined as a Cycle. It is important that the basics of Petri-Nets remain unchanged.
  • One goal may be to save silicon area by rising to clock frequency locally in the PAE's.
  • An additional expectation is to save power since the busses operate at a fraction of the clock frequencies of the PAEs. Data transfers over the busses, which consume much power, are reduced.
  • the internal registers can be implemented in different ways, e.g. in one of the following two:
  • Each register (r′) has a valid bit which is set as soon as data has been written into the register and reset after the data has been read. Data cannot be written if valid is set, data can not-be read if valid is not set. This approach implements a 100% compatible dataflow behaviour.
  • the registers have no associated valid bits.
  • the PAE operates as a sequencer, whereas at the edges of the PAE (the bus connects) the paradigm is changed to the XPP-like dataflow behaviour.
  • sequencer model is implemented. Since pure dataflow can be folded using automatic tools the programmer should stay within the dataflow paradigm and not be confused with the additional capabilities. Automatic tools must take care i.e. while register allocation that the paradigm is not violated.
  • FIG. 3 now shows that using sequencer model only 2 registers (instead of 4) are required.
  • PAE For allowing complex function like i.e. address generation as well as algorithms like “IMEC”-like data stream operations the PAE has not only 4 instruction registers implemented but 8, whereas the maximum bus-clock vs. PAE-clock ration is limited to a factor of 4 for usual function folding.
  • a deadlock or at a least significant reduction in performance could occur if the commands in RC 0 . . . RCn 108 were to be performed in a linear manner.
  • deadlocks might occur if feed-backs of the PAE outputs to the inputs of the PAE are present. This can be avoided if the instructions are not to be processed in a given order but rather according to the possibility of their processing, that is, one instruction can be carried out as soon as all conditions of the VPU-model are fulfilled.
  • every internal data register (RD 0 . . . RDn) 110 is separately assigned a valid bit indicating whether or not valid data are present in the register.
  • valid is set; when reading, valid is reset.
  • Data can be read only if “valid” is set and can be written only if “valid” is not set. Accordingly, the valid flag corresponds most closely to the status that is produced in the state machines of bus systems by the transmittal of RDY/ACK-handshakes. It is a preferred embodiment and considered to be inventive to provide a register with a status bit in that way.
  • a program counter is used to select a certain instruction within the instruction memory.
  • a finite state machine 400 controls the program counter. This finite state machine now checks whether or not all conditions for the instruction in RC (PC), that is the instruction, onto which the PC. (Program Counter) 402 points, are fulfilled. To do so, the respective RDY- and/or ACK-handshakes of the in- and/or outputs needed for the execution of the instructions are checked. Furthermore, the valid-flags of the internal registers to be read (RD 0 . . . RDn) 404 are checked so as to control whether or not they are set, and the valid-flags of those internal registers (RD 0 . . . RDn) into which is to be written, are checked whether they are not set. If one of the conditions is not fulfilled, the instructions will not be carried out. PC is controlled to count further, the instruction is skipped and the next instruction is selected and checked as described.
  • PC is controlled to count further, the instruction is skipped and the next instruction
  • each RC is assigned an entry in an evaluation mask field, the length of which corresponds to the maximum number of states to be tested; therefore, for every possible RDY- or ACK-trigger-signal (as well the RDY/ACKs of the triggers) as well as for every valid bit in RD 0 . . . RDn 404 two bits are available indicating whether or not the respective signal is to be set or not set; or, whether the state of the signal is unimportant for the execution of the instruction.
  • the mask shows only some entries.
  • both the state of the trigger (set, not set) as well as the value of the trigger (trigger value) can be tested via RDY-value.
  • an arbiter 650 an instruction of the set of all executables is selected.
  • the arbiter controls the instruction multiplexer via ISel according to the transferral of the selected instructions to the PAE.
  • the Line Control has one single line of Boolean test logic for every single instruction.
  • ExOR-gate (e) 600 the value of the signal to be tested against the setting in em of the line is checked.
  • OR-gate (+) 602 respectively a selection is carried out, whether the checked signal is relevant (don't care). The results of all checked signals are ANDed.
  • a logic 1 at the -output of the AND-gates (&) 604 shows an executable instruction. For every RC, a different test-line exists. All test-lines are evaluated in parallel.
  • An arbiter having one of a number of possible implementations such as a priority arbiter, Round-Robin-Arbiter and so forth, selects one instruction for execution out of all executable instructions. There are further implementations possible obvious to the average skilled person. Those variants might be widely equivalent in the way of operation and function. In particular, the possibility of using “negative logic” is to be mentioned.
  • FIG. 7 now gives an overview of the entire circuitry.
  • All busses assigned to a certain PAE are connected to the input registers (IR) or the output registers of the PAE are connected to all busses respectively (compare for example DE 100 50 442.6 or the XPP/VPU-handbooks of the applicant).
  • PAEs in particular FF PAEs
  • FF PAEs allow for a depopulation of bus interconnects, in particular, if more IR/OR will be available compared to the State of the Art of the XPP as previously known.
  • the depopulation that is the reductions of the possibilities to connect the IR or ER onto the busses can be symmetrically or asymmetrically.
  • the depopulation will typically amount to 20 to 70%. It is significant that the depopulation will not or not significantly affect the interconnectability and/or the routability of an algorithm in a negative way.
  • the method of depopulation is particularly relevant in view of the fact that several results can be achieved.
  • the hardware-expense and thus the costs of the bus systems can be reduced significantly; the speed of the busses is increased since the gate delay is reduced by the minimisation of connecting points; simultaneously, the power consumption of the busses is reduced.
  • FIG. 8 A preferred depopulation according to the VPU-architecture according to the State of the Art, however, with more IR/OR is shown in FIG. 8 now.
  • FIG. 9 now shows the State of the Art of a PAE implementation as known from XPU128, XPP64A and described in DE 100 50 442.6
  • the known PAE has a main data flow in the direction from top to bottom to the main ALU 10 in the PAE-core.
  • data channels are placed additionally transmitting data along the main data flow direction, once the same direction as the main data flow (FREG) 800 and once in the reverse direction (BREG) 802 .
  • FREG main data flow
  • BREG reverse direction
  • On both sides of the PAE data busses are provided that run in the reverse direction of the main data flow of the PAE and onto which the PAE as well as FREG and BREG are connected.
  • the architecture of the State of the Art requires eight data busses for each PAE side as well as four transfer channels for FREG/BREG for typical applications.
  • the bus system of the State of the Art has switching elements, register elements (R) 1000 , each at the side of the PAEs.
  • the switching elements allow for the disruption of a bus segment or disconnection to a neighbouring bus
  • the register elements allow the construction of an efficient pipelining by transferring data through the register, so as to allow for higher transferral band-width.
  • the typical latency in vertical direction for next-neighbour-transmitting is 0 per segment, however is 0,5-1 in horizontal direction per segment and higher frequencies.
  • a modified PAE structure wherein two ALUs 10 a , 10 b , each having a different main data flow direction are provided in each PAE, allowing for significantly improved routability.
  • the tools used for routing are better and simpler; on the other hand, a significant reduction in hardware resources is achieved.
  • First tests show that the number of busses necessary in horizontal direction is reduced by about 25% over the State of the Art.
  • the double-ALU structure has been further developed to an ALU-PAE 10 having inputs and outputs in both directions.
  • ALU-PAE 10 having inputs and outputs in both directions.
  • automatic routers as well as hand-routed applications, further additional significant improvements of the network topology can be shown.
  • register and switching elements 1000 in the busses in the middle of the PAE instead of at the sides thereof (see FIG. 13 now).
  • the preferred embodiment comprises two ALUs 1500 , 1502 , one of these being “complete” and having all necessary functions, for example multiplication and BarrelShift while the second has a reduced instruction set eliminating functions that require larger arrays such as multiplication and BarrelShift.
  • the second ALU is in a way replacing BYPASS (as drawn).
  • Both ALUs comprise additional circuits to transfer data between the busses so as to implement the function of the bypass.
  • Configurable multiplexers within the ALU are connected so that ALU inputs are bypassing the ALU and are directly connected to their outputs.
  • a MOVE instruction, stored in Rc 0 . . . Rcn is transferring within the respective processing clock of the function fold the data according to the input specified within the instruction to the specified output.
  • MUL is implemented as one single opcode which is pipelined over two stages.
  • MUL 1704 takes its operands from the input registers Ri and stores the results into internal data registers Rd. VALID is set if data is stored into Rd. ADD (or any other Opcode, such as BSFT 1706 ) uses the result in Rd if VALID is set; if not the execution is skipped according to the specified VALID behaviour.
  • ADD or any other Opcode, such as BSFT 1706 ) uses the result in Rd if VALID is set; if not the execution is skipped according to the specified VALID behaviour.
  • the timing changes for all OpCodes if the MUL instruction is used inside a PAE configuration. In this case all usually single cycle OpCodes will change to pipelined 2 cycle, OpCodes. The change is achieved by inserting a bypass able multiplexer into the data stream as well as into control.
  • OpCodes besides MUL which require 2 clock cycles for execution e.g. BSTF
  • the architecture must be modified to allow at least 3 data writes to registers after the second internal clock cycle.
  • the data path output multiplexer gets 2 times larger as well as the bus system to the output registers (OR) 1702 and the feedback path to the internal data registers (Rd).
  • more than 4 internal registers can be used without increasing the complexity by using enables (en) to select the specific register to write in the data.
  • Multiple registers are connected to the same bus, e.g. Rd 0 , Rd 4 , Rd 8 , Rd 12 .
  • Rd 0 and Rd 1 the following registers are blocked for the OpCode executed in parallel: Rd 4 , 5 , 8 , 9 , 12 , 13 .
  • Sequencer PAEs will be introduced. Such a PAE can be thought of as a very simple kind of processor which is capable to run sequential code within the XPP. This allows the efficient implementation of control flow oriented applications like the H.264 Codec on the array whereas with SEQ-PAEs missing the realization would be more difficult and resource consuming.
  • the SEQ-PAEs are not built from scratch. Instead such a tile will be built up by a close coupling of a ALU-PAE 1902 and neighboring RAM-PAE 1900 , which can be seen in FIG. 19 now.
  • the extended version of the ALU-PAE is given in FIG. 20 now.
  • To the right border the registers which are controlling the different modules can be seen. Those registers will be used in normal—as well as in SEQ-mode. Therefore the appropriate control signals from the local configuration manager and the RAM-PAE are first merged by OR-Gates and then are forwarded to the register whereas it has to be ensured that in normal mode the signals from the RAM-PAE are 0 and vice versa.
  • data can be processed during one or two cycles by the ALU-PAE depending on the selected arithmetic function. Due to the auto synchronization feature of the XPP and due to the fact that in normal mode a successive operation will not start before the previous one is finished, it does not really care if an operation lasts one or two clock cycles. Whereas the tile is working in SEQ mode there is a difference since we assume to have a pipeline character. This means that a one cycle operation could run in parallel with a two cycle module where the operation would be executed in stage two at this time. Due to the limited multiplexing capacities of a word—16 Bit—only one result could be written to the connected registers whereas the other one would be lost. In general there are three possibilities to solve this problem.
  • the second idea could be to recognize such a situation in the decode stage of the pipeline. If a two cycle instruction is directly followed by an instruction accessing a one stage arithmetic unit it has to be delayed by one clock cycle as well.
  • the program counter for the next clock cycle will be calculated. This means that it will be either incremented by 1 via a local adder or one of the program counters from the decode or execution stage 2 will be selected.
  • the program counter of the execution stage thereby provides the address if a call instruction occurred whereas the program counter of the execution stage provides the PC if there has been a conditional jump.
  • the branch address can either be calculated out of the current PC and a value which either is an immediate value or a value from internal registers of the ALU-RAM—indirect addressing mode—or an absolute value. This e.g. is necessary if there is return from a subroutine to the previous context whereas the according absolute PC will be provided by the stack bank.
  • the instruction coming from the code bank will be decoded.
  • Necessary control signals and, if needed, the immediate value, for the internal execution stage 1 as well as for the execution stage 1 of the ALU-PAE will be generated.
  • the signals include the control information for the multiplexers and gating stages of section two of the ALU-PAE, the operation selection of the ALU's tiles, e.g. signed or unsigned multiplication, and the information whether the stack pointer (SP) should be in/decremented or kept unchanged in the next stage depending on the fact if the instruction is either a call or jump. In case a call instruction occurred a new PC will be calculated in parallel and delivered to the fetch stage.
  • SP stack pointer
  • the read address and read enable signal to the data bank will be generated in case of a load instruction.
  • the execution stage 1 which by the way is the first stage available on the ALU as well as on the RAM-PAE, the control signals for execution stage 2 of the ALU-PAE are generated. Those signals will take care that the correct output of one of the arithmetical tiles will be selected and written to the enabled registers. If the instruction should be a conditional jump or return the stack pointer will be modified in this stage. In parallel the actual PC will be saved to the stack bank at the address give by the Rsp EX 1 register in case of a branch. Otherwise, in case of a return, the read address as well as the read enable signal will be applied to the stack bank.
  • execution stage 2 the value of the PC will be calculated and provided to the multiplexer in the fetch stage in case of a jump.
  • write address and write enable signal to the data bank are generated if data from the ALU have to be saved.
  • the XPP-II structure of the PAEs consumes much area for FREG and BREG and their associated bus interfaces.
  • feed backs through the FREGs require the insertion of registers into the feedback path, which result not only in an increased latency but also in a negative impact onto the throughput and performance of the XPP.
  • a new PAE structure and arrangement is proposed with the expectation to minimize latency and optimize the bus interconnect structure to achieve an optimized area.
  • the XPP-III PAE structure does not include BREGs any more.
  • the ALUs are alternating flipped horizontally which leads to improved placement and routing capabilities especially for feedback paths i.e. of loops.
  • Each PAE contains now two ALUs and two BP paths 2200 , one from top to bottom and one flipped from bottom to top.
  • registers are implemented in the vertical busses which can be switched on by configuration for longer paths. This registers can furthermore be preloaded by configuration which requires a significant amount of silicon area. It is proposed to not implement registers in the busses any more, but to use an enhanced DF or Bypass (PB) part within the PAEs which is able to reroute a path to the same bus using the DF or BP internal registers instead.
  • PB Bypass
  • XPP-II n:1 and 1:n transitions are supported by the busses which require a significant amount of resources i.e. for the sample-and-hold stage of the handshake signals.
  • This method saves a significant amount of static resources in silicon but requires dedicated PAE resources at runtime.
  • n 2, 2 ⁇ n ⁇ 4, n>4 the ratio between saved silicon area and required PAE resource and to decide on the exact bus structure in response to one or all of said criteria.
  • the RAM-PAE is enhanced by a feedback from the data output to the address input through a register (FF) 2402 to supply subsequent address within each stage. Furthermore additional address inputs from the PAE array can cause conditional jumps; data output will generate event signals for the PAE array.
  • Associated counters 2404 which can be reloaded and stepped by the memory output generate address input for conditional jumps (i.e. end of line, end of frame of a video picture).
  • a typical RAM PAE implementation has about 16-32 data bits but only 8-12 address bits. To optimize the range of input vectors it is therefore suggested to insert some multiplexers at the address inputs to select between multiple vectors, whereas the multiplexers are controlled by some of the output data bits.
  • FIG. 25 One implementation for an XPP having 24 bit wide data busses is sketched in FIG. 25 now. 4 event inputs are used as input, as well as the lower for bits of input port Ri 0 . 3 counters are implemented, 4 events are generated as well as the lower 10 bits of the Ro 0 port.
  • the width of the counters is according to the bus width of the data busses.
  • address generators 2700 to support e.g. 1 to 3 dimensional addressing directly without any ALU-PAE resources.
  • the address generation is then done by 3 counters; each of them has e.g. configurable base address, length and step width.
  • the first counter (CNT 1 ) has a step input to be controlled by the array of ALU-PAEs. Its carry is connected to the step input of CNT 2 , which carry again is connected to the step input of CNT 3 .
  • Each counter generates carry if the value is equal to the configured length. Immediately with carry the counter is reset to its configured base address.
  • One input is dedicated for addresses from the array of ALU-PAEs which can be added to the values of the counters. If one or more counters are not used they are configured to be zero.
  • CNT 1 supports generation of bit reversal addressing by supplying multiple carry modes.
  • the IOAG allows the split and merge of such smaller data words.
  • the IOAG can support word splitting and merging as follows:
  • Input ports are merged within the IOAG for word writes to the IO.
  • the read word is split according to the configured word width.
  • PAEs and busses are built to perform depending on the workload. Therefore the clock frequency is configurable according to the data bandwidth, in addition clock gating for registers is supported, busses are decoupled using row of AND gates. Dynamically clock pulses are gated, whenever no data can be processed.
  • the voltage is scaled in an advanced architecture. Within the 4S project such methods are evaluated and commercially usable technologies are researched.
  • the ALU-PAE comprises 3 paths:
  • each of the paths contains 2 data busses and 1 event bus.
  • the busses of the DF path can be rerouted to the ALU path by configuration.
  • the ALU path comprises 12 data registers:
  • Eight instruction registers are implemented; each of them is 24 bit wide according to the opcode format.
  • Each input register Ri can be configured to work in one of two different modes:
  • a data packet is taken read from the bus if the register is empty, an ACK handshake is generated. If the register is not empty ACK the data is not latched and ACK is not generated. If the register contains data, it can be read once. Immediately with the read access the register is marked as empty. An empty register cannot be read.
  • the input interface is according to the bus protocol definition: A data packet is taken read from the bus if the register is empty, an ACK handshake is generated. If the register is not empty ACK the data is not latched and ACK is not generated.
  • the register contains data it can be read multiple times during a sequence.
  • each data register can be, individually selected.
  • Three address opcode form is used, r t ⁇ r s1 , r s0 .
  • An virtual output register is selected by adding ‘o’ behind the register. The result will be stored in r t and copied to the virtual output register r v as well according to the rule op out (r v , r t ) ⁇ r s1 , r s0 .
  • Etp ep t ep v Notation 000 0 — Fu0, Fv0 001 1 — Fu1, Fv1 010 2 — Fu2, Fv2 011 3 — Fu3, Fv3 100 0 0 Eou0, Eov0 101 1 1 Eou1, Eov1 110 2 2 Eou2, Eov2 111 3 3 Eou3, Eov3
  • an accumulator register is available which can be addressed by just one set bit for the result register (ao) and operand register (ai).
  • register 1 For commutative operations always operand register 1 is replaced by ai. For non commutative operations as SUBtract operand register 1 selects, whether ai is the first or second operand. register 2 defines the accordingly other operand.
  • the FF-PAE To access the PStack, the FF-PAE must be in the Fast-Parameter Mode. Each read access to Ri 3 is redirected to read from the PStack, whereas after each read access the pointer incremented with one. There is no check for an overflow of the PStack pointer implemented; an overflow is regarded as a program bug.
  • n:1 transitions are not supported within the busses any more.
  • simple writes to multiple output registers Ro and event outputs Eo are supported.
  • the Virtual Output registers (Rv) and Virtual Event (Ev) are translated to real Output registers (Ro) and real Events (Eo), whereas a virtual register can be mapped to multiple output registers.
  • Register status Operation empty Write data to register full Wait until register is cleared and can accept new data
  • the Multi-Config Mode allows for selecting 1 out of maximum 4 stored configurations. Incoming events on Fui 0 , 1 and Fvi 0 , 1 select one of the 4 configurations. Only one Event shall be active at a clock cycle.
  • each event points to a specific memory address.
  • Long configurations may use more than 3 opcode by using the next code segments as well. In this case, the according events can not be used.
  • Source registers can be Ri and Rd, target registers are Rv and Rd.
  • a typical operation targets only Rd registers. If the source register for r a is Ri[x] the target register will be Rd[x].
  • Each operation can target a Virtual Output Register Rv by adding an out tag ‘o’ as a target identifier to the opcode:
  • the SKIPE command supports conditional execution. Either an event or ALU flag is tested for a specific value. Depending on the check either the next two addresses are executed (Rpp+1) or skipped (Rpp+3). If an incoming event is checked, the program execution stops until the event is arrived at the event port (RDY handshake set).
  • SKIPE supports conditional execution of any OpCode which is not larger than two memory entries.
  • the PAE can operate at a configurable clock frequency of
  • the DataFlow path comprises the data registers Bri 0 . . . 3 and Bro 0 . . . 3 as well as the event register Bui/Bvi 0 . . . 3 and Buo/Bvo 0 . . . 3 .
  • the main purpose of the DF path is to establish bus connections in the vertical direction.
  • the path includes a 4 stage FIFO for each of the data and event paths.
  • the DF path supports numerous instructions, whereas the instruction is selected by configuration and only one of them can be performed during a configuration, function folding is not available.
  • Parameters and constants can be updated fast and synchronous using input register Ri 3 and event input Ei 7 .
  • data packets at the input register Ri 3 are copied subsequently into Rd 3 , Rd 2 and Rd 1 at each access of the according register by the PAE, if the event Ei 7 is set. Afterwards all input data at Ri 3 is propagated to the output register Ro 3 , also the Eo 7 event output is set, to indicate following PAEs the occurrence of a fast parameter update, which allows to chain PAEs, together (i.e. in a multi-TAP FIR filter) and updating all parameters in the chain.
  • nn indicates the number of registers to be updated ( 1 - 3 ).
  • Ei 7 must be 0 for at least one clock cycle to indicate the end of a running parameter update and the start of a new update.
  • the IOAGs are located in the RAM-PAEs and share the same registers to the busses.
  • An IOAG comprises 3 counters with forwarded carries. The values of the counters and an immediate address input from the array are added to generate the address.
  • One counter offers reverse carry capabilities.
  • Mode Description Immediate Address generated by the PAE array xD counting Multidimensional addressing using IOAG internal counters xD means 1D, 2D, 3D xD circular Multidimensional addressing using IOAG internal counters, after overflow counters- reload with base address xD plus immediate xD plus a value from the PAE array Stack decrement after “push” operations increment after “read” operations Reverse carry Reverse carry for applications such as FFT
  • the address is generated in the array and directly fed through the adder to the address output. All counters are disabled and set to 0.
  • Counters are enabled depending on the required dimension (x-dimensions require x counters). For each counter a base address and the step width as well as the maximum address are configured. Each carry is forwarded to the next higher and enabled counter; after carry the counter is reloaded with the start address.
  • a carry at the highest enabled counter generates an event, counting stops.
  • One counter (CNT 1 ) is used to decrement after data writes and increment after data reads.
  • the base value of the counter can either be configured (base address) or loaded by the PAE array.
  • carry is forwarded from LSB to MSB. Forwarding the carry to the opposite direction (reverse carry) allows generating address patterns which are very well suited for applications like FFT and the like. The carry is discarded at MSB.
  • the counter is implemented to allow reverse carry at least for STEP values of ⁇ 2 , ⁇ 1 , + 1 , + 2 .
  • Each ALU-PAE at the left or right edge of the array can be closely coupled to the neighbouring RAM-PAEs as an IP option, thus allowing for configure a sequencer.
  • the data and opcode width of the sequencer is 16 bits.
  • the ALU-PAEs can operate exactly as array internal ALU-PAEs but have several extensions. Operation is Sequencer mode the register file is 8 data registers wide, Fu and Fv flags are, used as carry, sign, null, overflow and parity ALU flag word 112 .
  • the address width is accordingly 16 bit. However since the RAM-PAE size is limited it is segmented into 16 segments. Those segments are used for code, data and stack 3300 and must be individually preloaded by the compiler.
  • CodeBank Points to the actual code segment DataBank Points to the actual data segment StackBank Points to the actual stack segment AuxiliaryBank Points to any Segment (but code), allowing copy operations between segments (see FIG. 32 now)
  • the compiler has to take care that necessary data segments are preloaded and available. For cost reasons there is no automatic TLB installed.
  • Code segments behave accordingly to data segments.
  • the compiler has to preload them before execution jumps into them. Also jumps are physically direct addressed, due to the absence of TLBs again.
  • mapping is fixed by the compiler.
  • the memory layout is shown in FIG. 33 now.
  • a simple check mechanism is implemented to validate or invalidate memory segments.
  • CodeBank CB
  • SB StackBank
  • Memory banks 3500 are updates in terms of loaded or flushed in the background by a DMA engine controlled by the following opcodes
  • the address generators 3502 in the IOAG interfaces can be reused as DMA engine.
  • Memory banks can be specifically validated or invalidated as follows:
  • the bank pointers are added to the address of any memory access. Since the address pointer can be larger than the 6 bits addressing a 64 line range, segment boarders are not “sharp”, which means, can be crossed without any limitation. However the programmer or compiler has to take care that no damage occurs while crossing them. If an invalid segment is reached a flag or trap is generated indicating the fault, eventually just wait states are inserted if a segment preload is running already in the background.
  • sequencers use 16-bit instructions only. To use the same instruction set and to keep the decoders simple, just the last 8 bits are discarded in sequencer mode.
  • IOAGs may comprise a 4-8 stage data output buffer to balance external latency and allow reading the same data address directly after the data has been written, regardless of external bus or memory latencies (up to the number of buffer stages).
  • Input I rs 0nn Rd[nn] 1nn Ri[nn]
  • Output O1 rt1 0nn Rd[nn] 1nn Ro[nn]
  • Output O2 rt2 0nn Rd[nn] 1nn Ro[nn]
  • Event E es4 0nnn F[nnn] 1nnn Ei[nnn]
  • Event E es4 0nnn F[nnn] 1nnn Ei[nnn] Skip next two addresses if event or flag is equal to val: event/flag not equal val Rpp++ equal val Rpp + 3 -> Rpp val: value es4: event source
  • Event E es3 nnn Ei[nnn] Wait for incoming event of defined value. Acknowledge all incoming events.

Abstract

A data processing device comprising a multidimensional array of coarse grained logic elements processing data and operating at a first clock rate and communicating with one another and/or other elements via busses and/or communication lines operated at a second clock rate is disclosed, wherein the first clock rate is higher than the second and wherein the coarse grained logic elements comprise storage means for storing data needed to be processed.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of and claims priority to U.S. patent application Ser. No. 10/570,173, filed on Nov. 10, 2006, which claims priority to International Application Serial No. PCT/EP04/09640, filed on Aug. 30, 2004, which claims priority to German Patent Application Serial No. DE 030 19 428.6, filed on Aug. 28, 2003, the entire contents of each of which are expressly incorporated herein by reference.
  • FIELD OF INVENTION
  • The present invention relates to reconfigurable computing. In particular, the present invention relates to improvements in the architecture of reconfigurable devices.
  • BACKGROUND INFORMATION
  • Reconfigurable data processing arrays are known in the art. Reference is being made to the previous applications and/or publications of the present applicant/assignee all of which are incorporated herein by way of reference. Accordingly, the devices described hereinafter may be multidimensional (n>1) arrays comprising coarse grained computing and/or data operation elements allowing for runtime reconfiguration of the entire array or parts thereof, preferably in response to a signal indicating reconfigurability to a loading unit (CT, CM or the like).
  • Now, several of these data processing arrays have been built (i.e. Xpp1, XPP128, XPP2, XPP64). It is however desirable to improve the known device further as well as to improve methods of its operation.
  • SUMMARY OF THE INVENTION
  • Accordingly, in order to achieve this object there will be described a number of improvements allowing separately or in common to improve the performance and/or power consumption and/or cost of the device.
  • A first way to improve the known devices is to improve the functionality of each single processor element. It has been previously suggested to include a ring-memory (RINGSPEICHER) in the array, to store instructions in the ring-memory and to provide a pointer that points to one of the ring-memory addresses so as to select an instruction to be carried out next. Furthermore, it has been suggested to provide at least one “shadow configuration” and to switch over between several configurations/shadow configurations. Another or additional suggestions has been designated as “wave reconfiguration”.
  • While these known methods improve the performance of a reconfigurable device, there seems to be both a need and a possibility for further improvements.
  • It is to be understood that while in the following description, a detailed example is given, for example with respect to the number of registers given associated with each PAE, it is not deemed necessary to provide an ALU with exactly this number of registers. Rather, it will be understood by the average skilled person that deviations from the explicitly described embodiment are easily feasible and that the detailed level of description stems from an effort to provide an exemplary PAE and not from the wish to restrict the scope of invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows an ALU-PAE architecture.
  • FIG. 2 shows function folding.
  • FIG. 3 shows a sequencer model.
  • FIG. 4 shows Method A: FF PAE program pointer.
  • FIG. 5 shows Method B: FF PAE program pointer.
  • FIG. 6 shows a test logic.
  • FIG. 7 shows an overview of the entire circuitry of FIGS. 5 and 6.
  • FIG. 8 shows a VPU architecture.
  • FIG. 9 shows a PAE implementation.
  • FIG. 10 shows a bus system.
  • FIG. 11 shows a modified PAE structure.
  • FIG. 12 shows an ALU-PAE having inputs and outputs in both directions.
  • FIG. 13 shows a modified bus system.
  • FIG. 14 shows a PAE exchanging data without latency.
  • FIG. 15 shows an optimised PAE arrangement.
  • FIG. 16 shows superscalar FF ALU-PAEs.
  • FIG. 17 shows a datapath architecture.
  • FIG. 18 shows another datapath architecture.
  • FIG. 19 shows a configurable sequencer.
  • FIG. 20 shows an enhanced version of an ALU-PAE.
  • FIG. 21 shows an overview of the RAM-PAE.
  • FIG. 22 shows PAE Structure and Arrangement V2.0 and PAE Structure and Arrangement V2.2.
  • FIG. 23 shows an enhanced DF or Bypass (PB) part within the PAEs.
  • FIG. 24 shows an enhancement of RAM-PAEs.
  • FIG. 25 shows a memory organization.
  • FIG. 26 shows another memory organization.
  • FIG. 27 shows address generators.
  • FIG. 28 shows a parameter stack mode.
  • FIG. 29 shows multi-config mode.
  • FIG. 30 shows multi-config mode for long configurations.
  • FIG. 31 shows parameter broadcast and update.
  • FIG. 32 shows code bank, data bank, stack bank, and auxiliary bank.
  • FIG. 33 shows the memory layout.
  • FIG. 34 shows the memory layout.
  • FIG. 35 shows an advanced valid checking scheme.
  • FIGS. 36 to 44 show an improved way of routing.
  • FIG. 45 shows a simplified structure of an XPP array.
  • FIG. 46 shows a function folding processing element.
  • FIG. 47 shows an address generation data flow graph.
  • FIG. 48 shows an extended XPP tool flow.
  • FIGS. 49 a and 49 b show a complex FIR filter cell.
  • FIG. 50 shows data and control flow.
  • FIG. 51 shows a PAE-like Petri-Net.
  • FIG. 52 shows data duplication in the output path of the Petri-Net.
  • DETAILED DESCRIPTION 1 Overview of Changes vs. XPP XPP-II 1.1 ALU-PAE Architecture
  • In the suggested improved architecture, a PAE might e.g. comprise 4 input ports 100 and 4 output ports 104. Embedded with each PAE is the FREG path newly named DF 135 with its dataflow capabilities, like MERGE, SWAP, DEMUX as well as ELUT.
  • 2 input ports Ri0 and Ri1 are directly connected to the ALU.
  • Two output ports receive the ALU results.
  • Ri2 and Ri3 are typically fed to the DF path which output is Ro2 and Ro3.
  • Alternatively Ri2 and Ri3 can serve as inputs for the ALU as well. This extension is needed to provide a suitable amount of ALU inputs if Function Folding (as described later) is used.
  • In this mode Ro2 and Ro3 serve as additional outputs.
  • Associated to each data register (Ri or Ro) is an event port (Ei or Eo).
  • It is possible, albeit not necessary to implement an additional data and event bypass BRi0-1 125, BEi0-. The decision depends on how often Function Folding will be used and how many inputs and outputs are required in average.
  • (see FIG. 1 now)
  • 1.1.1 Other Extensions
  • SIMD operation is implemented in the ALUs to support 8 and 16 bit wide data words for i.e. graphics and imaging.
  • Saturation is supported for ADD/SUB/MUL instructions for i.e. voice, video and imaging algorithms.
  • 1.2 Function Folding 1.2.1 Basics and Input/Output Paradigms
  • Within this chapter the basic operation paradigms of the XPP architecture are repeated for a better understanding based on Petri-Nets. In addition the Petri-Nets will be enhanced for a better understanding of the subsequently described changes of the current XPP architecture.
  • In most arrays each PAE operates as a data flow node as defined by Petri-Nets. (Some arrays might have parts that have other functions and should thus be not considered as a standard PAE). A Petri-Net supports a calculation of multiple inputs 5200 and produces one single output 5202. Special for a Petri-Net is that the operation is delayed until all input data is available.
  • For the XPP technology this means:
      • 1. all necessary data is available
      • 2. all necessary events are available
  • The quantity of data—and events is defined by the data and control flow, the availability is displayed at runtime by the handshake protocol RDY/ACK.
  • (see FIG. 50 now)
  • Here, the thick arbor indicates the operation, the dot on the right side indicates that the operation is delayed until all inputs 5300 are available.
  • Enhancing the basic methodology function folding supports multiple operations—maybe even sequential—instead of one, defined as a Cycle. It is important that the basics of Petri-Nets remain unchanged.
  • (see FIG. 51 now)
  • Here, typical PAE-like Petri-Nets consume one input packet 5400 per one operation. For sequential operation multiple reads of the same input packet are supported. However, the interface model again keeps unchanged.
  • Data duplication occurs in the output path 5402 of the Petri-Net, which does not influence the operation basics again.
  • (see FIG. 52 now)
  • 1.2.2 Method of Function Folding
  • One of the most important extensions is the capability to fold multiple PAE functions onto one PAE and execute them in a sequential manner. It is important to understand that the intention is not to support sequential processing or even microcontroller capabilities at all. The intention of Function Folding is just to take multiple dataflow operations and map them on a single PAE, using a register structure instead, of a network between each function.
  • One goal may be to save silicon area by rising to clock frequency locally in the PAE's. An additional expectation is to save power since the busses operate at a fraction of the clock frequencies of the PAEs. Data transfers over the busses, which consume much power, are reduced.
  • (see FIG. 2 now)
  • The internal registers can be implemented in different ways, e.g. in one of the following two:
  • 1. Dataflow Model
  • Each register (r′) has a valid bit which is set as soon as data has been written into the register and reset after the data has been read. Data cannot be written if valid is set, data can not-be read if valid is not set. This approach implements a 100% compatible dataflow behaviour.
  • 2. Sequencer Model
  • The registers have no associated valid bits. The PAE operates as a sequencer, whereas at the edges of the PAE (the bus connects) the paradigm is changed to the XPP-like dataflow behaviour.
  • Even if at first the dataflow model seems preferable, it has major down sides. One is that a high amount of register is needed to implement each data path and data duplication is quite complicated and not efficient. Another is that sometimes a limited sequential operation simplifies programming and hardware effort.
  • Therefore it is assumed consecutively that sequencer model is implemented. Since pure dataflow can be folded using automatic tools the programmer should stay within the dataflow paradigm and not be confused with the additional capabilities. Automatic tools must take care i.e. while register allocation that the paradigm is not violated.
  • FIG. 3 now shows that using sequencer model only 2 registers (instead of 4) are required.
  • For allowing complex function like i.e. address generation as well as algorithms like “IMEC”-like data stream operations the PAE has not only 4 instruction registers implemented but 8, whereas the maximum bus-clock vs. PAE-clock ration is limited to a factor of 4 for usual function folding.
  • It is expected that the size of the new PAE supporting Function Folding will increase by max. 25%. On the other hand 4 PAEs are reduced to 1.
  • Assuming that in average not the optimum but only about 3 functions can be folded onto a single PAE a XPP64 could be replaced by a XPP21. Taking the larger PAEs into account the functionality of a XPP64 XPP-II should be executable on a XPP XPP-III with an area of less than half.
  • The function folding method and apparatus as well as other further improvements will be described in even more detailed hereinafter.
  • Equality of Internal Data Registers and Bus Transfers
  • The function fold concept realises two different models of data processing:
    • a) Sequential model, wherein within the PAE the same rules apply as in von-Neuman- and Harvard-processors.
    • b) PACT VPU-model, wherein data are calculated or operated upon in arbitrary order according to the PETRI-Net-Model (data flow+synchronization).
  • Due to the unpredictability of the arrival of data at the input registers (IR) a deadlock or at a least significant reduction in performance could occur if the commands in RC0 . . . RCn 108 were to be performed in a linear manner. In particular, if feed-backs of the PAE outputs to the inputs of the PAE are present, deadlocks might occur. This can be avoided if the instructions are not to be processed in a given order but rather according to the possibility of their processing, that is, one instruction can be carried out as soon as all conditions of the VPU-model are fulfilled. Therefore, for example, once all RDY-handshakes of incoming data, ACK-handshakes of outgoing data and, if necessary, triggers, (including their handshakes) are valid, then the instruction can be carried out. As the FF PAE has data additionally stored in internal registers, their validity and status has to be checkable as well in a preferred embodiment. Therefore, every internal data register (RD0 . . . RDn) 110 is separately assigned a valid bit indicating whether or not valid data are present in the register. When writing data into the register, valid is set; when reading, valid is reset. Data can be read only if “valid” is set and can be written only if “valid” is not set. Accordingly, the valid flag corresponds most closely to the status that is produced in the state machines of bus systems by the transmittal of RDY/ACK-handshakes. It is a preferred embodiment and considered to be inventive to provide a register with a status bit in that way.
  • It is therefore possible to carry out instructions at the time when all conditions for the execution—again very similar to PETRI-nets are fulfilled.
  • Basically, there are two methods available for selection of instruction and control of their execution described herein after.
  • Method A: FF PAE Program Pointer (Finite State Machine & Program Pointer-Approach)
  • (see FIG. 4 now)
  • According to the control principle of sequential processors, a program counter is used to select a certain instruction within the instruction memory. A finite state machine 400 controls the program counter. This finite state machine now checks whether or not all conditions for the instruction in RC (PC), that is the instruction, onto which the PC. (Program Counter) 402 points, are fulfilled. To do so, the respective RDY- and/or ACK-handshakes of the in- and/or outputs needed for the execution of the instructions are checked. Furthermore, the valid-flags of the internal registers to be read (RD0 . . . RDn) 404 are checked so as to control whether or not they are set, and the valid-flags of those internal registers (RD0 . . . RDn) into which is to be written, are checked whether they are not set. If one of the conditions is not fulfilled, the instructions will not be carried out. PC is controlled to count further, the instruction is skipped and the next instruction is selected and checked as described.
  • The advantage of this method is the compatibility with sequential processor models. The disadvantage resides in the necessity to test and to skip instructions. Both of which might result in significant losses of performance under certain circumstances.
  • Method B: FF PAE Program Pointer (Enabler & Arbiter-Approach)
  • (see FIG. 5. now)
  • This method is based upon the possibility to test all instructions in Rc0 . . . Rcn 502 in parallel. In order to save the expense of the complete decoding of array instructions, each RC is assigned an entry in an evaluation mask field, the length of which corresponds to the maximum number of states to be tested; therefore, for every possible RDY- or ACK-trigger-signal (as well the RDY/ACKs of the triggers) as well as for every valid bit in RD0 . . . RDn 404 two bits are available indicating whether or not the respective signal is to be set or not set; or, whether the state of the signal is unimportant for the execution of the instruction.
  • OutTrigger-
    InData-RDY OutData-ACK InTrigger ACK Rd Data Valid
    Rdy don't Ack don't trigger rdy don't ack don't valid don't
    value care value care value value care value care value care
  • The mask shows only some entries. At In-Trigger, both the state of the trigger (set, not set) as well as the value of the trigger (trigger value) can be tested via RDY-value.
  • A test logic testing 500 via for example the Line Control described herein after all instructions in parallel. Using an arbiter 650, an instruction of the set of all executables is selected. The arbiter controls the instruction multiplexer via ISel according to the transferral of the selected instructions to the PAE.
  • The Line Control has one single line of Boolean test logic for every single instruction. By means of an ExOR-gate (e) 600 the value of the signal to be tested against the setting in em of the line is checked. By means of an OR-gate (+) 602 respectively, a selection is carried out, whether the checked signal is relevant (don't care). The results of all checked signals are ANDed. A logic 1 at the -output of the AND-gates (&) 604 shows an executable instruction. For every RC, a different test-line exists. All test-lines are evaluated in parallel. An arbiter having one of a number of possible implementations such as a priority arbiter, Round-Robin-Arbiter and so forth, selects one instruction for execution out of all executable instructions. There are further implementations possible obvious to the average skilled person. Those variants might be widely equivalent in the way of operation and function. In particular, the possibility of using “negative logic” is to be mentioned.
  • (see FIG. 6 now)
  • FIG. 7 now gives an overview of the entire circuitry.
  • Advantages of the method are:
      • Significantly fast, in view of the fact that one instruction can be carried out in every single clock
      • Reduced power consumption, since no energy is wasted on discarded cycles which is in particular advantageous to the static power dissipation.
      • Similar hardware expense as in the sequential solution when using small and medium sized configuration memories (RC) therefore similar costs.
  • Disadvantages:
      • Likely to be significantly more expensive on large RC; therefore, an optimisation is suggested for a given set of applications.
      • In order to implement the sequencer mode (compare other parts of the application) the program counter having an FSM must be provided for. The FSM then is restricted to the tasks of the sequencer so that the additional expenses and the additional costs are relatively low.
    Depopulated Busses According to the State of the Art
  • All busses assigned to a certain PAE are connected to the input registers (IR) or the output registers of the PAE are connected to all busses respectively (compare for example DE 100 50 442.6 or the XPP/VPU-handbooks of the applicant).
  • It has been realised that PAEs, in particular FF PAEs, allow for a depopulation of bus interconnects, in particular, if more IR/OR will be available compared to the State of the Art of the XPP as previously known. The depopulation, that is the reductions of the possibilities to connect the IR or ER onto the busses can be symmetrically or asymmetrically. The depopulation will typically amount to 20 to 70%. It is significant that the depopulation will not or not significantly affect the interconnectability and/or the routability of an algorithm in a negative way.
  • The method of depopulation is particularly relevant in view of the fact that several results can be achieved. The hardware-expense and thus the costs of the bus systems can be reduced significantly; the speed of the busses is increased since the gate delay is reduced by the minimisation of connecting points; simultaneously, the power consumption of the busses is reduced.
  • A preferred depopulation according to the VPU-architecture according to the State of the Art, however, with more IR/OR is shown in FIG. 8 now.
  • In particular, reference is being made to an optional extension of the bus architecture allowing for a direct next neighbour data transfer of two adjacent PAEs, in particular two PAEs placed one onto the other. Here, the outputs (OR) of one PAE are directly connected to a dedicated bus which is then directly connected to the inputs (IR) of a neighbouring PAE (compare FIG. 9 now). The figure only shows a horizontal next neighbour bus, however, in general, vertical busses are possible as well.
  • In FIG. 8 now, the shaded circles stand for possible bus connects: MUX. Double circuits stand for a connection from the bus: DeMUX.
  • Changes of the PAE IO
  • FIG. 9 now shows the State of the Art of a PAE implementation as known from XPU128, XPP64A and described in DE 100 50 442.6
  • The known PAE has a main data flow in the direction from top to bottom to the main ALU 10 in the PAE-core. At the left and right side, data channels are placed additionally transmitting data along the main data flow direction, once the same direction as the main data flow (FREG) 800 and once in the reverse direction (BREG) 802. On both sides of the PAE, data busses are provided that run in the reverse direction of the main data flow of the PAE and onto which the PAE as well as FREG and BREG are connected. The architecture of the State of the Art requires eight data busses for each PAE side as well as four transfer channels for FREG/BREG for typical applications.
  • The bus system of the State of the Art has switching elements, register elements (R) 1000, each at the side of the PAEs. The switching elements allow for the disruption of a bus segment or disconnection to a neighbouring bus, the register elements allow the construction of an efficient pipelining by transferring data through the register, so as to allow for higher transferral band-width. The typical latency in vertical direction for next-neighbour-transmitting is 0 per segment, however is 0,5-1 in horizontal direction per segment and higher frequencies.
  • (see FIG. 10 now)
  • Now, a modified PAE structure is suggested, wherein two ALUs 10 a, 10 b, each having a different main data flow direction are provided in each PAE, allowing for significantly improved routability. On one hand, the tools used for routing are better and simpler; on the other hand, a significant reduction in hardware resources is achieved. First tests show that the number of busses necessary in horizontal direction is reduced by about 25% over the State of the Art. The vertical connects in FREG/BREG (=BYPASS) can even be reduced by about 50%. Also, it is no more necessary to distinguish between FREG and BREG as was necessary in DE 100 50 442.6.
  • (see FIG. 11 now)
  • The double-ALU structure has been further developed to an ALU-PAE 10 having inputs and outputs in both directions. Using automatic routers as well as hand-routed applications, further additional significant improvements of the network topology can be shown. The number of busses necessary seems to be reduced to about 50% over the State of the Art, the number of vertical connects in the FREG/BREG (=BYPASS) can be reduced by about 75%.
  • (see FIG. 12 now)
  • For this preferred embodiment which can be used for conventional as well as for function fold ALUs, it is possible to place register and switching elements 1000 in the busses in the middle of the PAE instead of at the sides thereof (see FIG. 13 now).
  • In this way, it is possible even for high frequencies to transmit data in horizontal direction to the respective neighbouring PAE without having to go through a register element. Accordingly, it is possible to set, up next neighbour connections in vertical and horizontal directions which are latency free (compare State of the Art and drawings referring to depopulated busses). The example of the interconnections shown in the respective figure allows transferral having zero latency in vertical direction and horizontally from left to right. Using an optimisation of PAE interface structure a latency free next neighbouring transmission in both horizontal directions can be achieved. If in every corner of the PAE input register (IR, arrow of bus into PAE) 1405 from bus and output register (OR, arrow from PAE to bus) 1400 to the bus are implemented, each neighbouring PAE can exchange data without latency.
  • (see FIG. 14 now)
  • It is possible to further optimise the above disclosed PAE arrangement. This can be done by using no separate bypass at all in all or some of the PAEs. The preferred embodiment comprises two ALUs 1500, 1502, one of these being “complete” and having all necessary functions, for example multiplication and BarrelShift while the second has a reduced instruction set eliminating functions that require larger arrays such as multiplication and BarrelShift. The second ALU is in a way replacing BYPASS (as drawn). There are several possible positions for the register in switching elements per bus system, and two of the preferred positions per bus are shown in FIG. 15 in dotted lines.
  • Both ALUs comprise additional circuits to transfer data between the busses so as to implement the function of the bypass. A number of possible ways of implementations exist and two of these shall be explained as an example.
  • a) Multiplexer
  • Configurable multiplexers within the ALU are connected so that ALU inputs are bypassing the ALU and are directly connected to their outputs.
  • b) MOVE Instruction
  • A MOVE instruction, stored in Rc0 . . . Rcn is transferring within the respective processing clock of the function fold the data according to the input specified within the instruction to the specified output.
  • Superscalarity/Pipelining
  • It is possible and suggested as first way of improving performance to provide roughly superscalar FF ALU-PAEs which calculate for example 2, 4, 8 operations per bus clock @ FF=2, 4, 8, even while using the MUL opcode.
  • The basic concept is to make use of the VALID-flags of each internal register. MUL is implemented as one single opcode which is pipelined over two stages.
  • MUL 1704 takes its operands from the input registers Ri and stores the results into internal data registers Rd. VALID is set if data is stored into Rd. ADD (or any other Opcode, such as BSFT 1706) uses the result in Rd if VALID is set; if not the execution is skipped according to the specified VALID behaviour. In addition the timing changes for all OpCodes, if the MUL instruction is used inside a PAE configuration. In this case all usually single cycle OpCodes will change to pipelined 2 cycle, OpCodes. The change is achieved by inserting a bypass able multiplexer into the data stream as well as into control.
  • The following program will be explained in detail:
      • MUL (Rd0, Rd1), Ri0, Ri1;
      • ADD Ro0, Rd1, Ri2;
  • In the first bus-cycle after configuration (t0) MUL is executed (assuming the availability of data at Ri0/1). The register pair Rd0/1 is invalid during the whole bus-cycle, which means during both FF-PAE internal clock cycles. Therefore ADD is not executed in the 2nd clock cycle. After t0 the result of MUL is written into the register pair, which VALID flags are set at the same time.
  • In t1 new data is multiplied. Since the VALID is set for Rd0/1 now the ADD command is executed in the 2nd clock cycle, but takes 2 clock cycles for over all execution. Therefore operand read and result write is inline for both operations, MUL as well as ADD.
  • The result of a MUL-ADD combination is available with 2 clocks latency in a FF=2 ALU-PAE. For FF>=6 no latency is inserted.
  • (see FIG. 16 now)
  • However since multiplication and all other commands are processed in parallel the machine streams afterwards without any additional delays.
  • (see FIG. 17 now)
  • If there are OpCodes besides MUL which require 2 clock cycles for execution (e.g. BSTF) the architecture must be modified to allow at least 3 data writes to registers after the second internal clock cycle.
  • The data path output multiplexer gets 2 times larger as well as the bus system to the output registers (OR) 1702 and the feedback path to the internal data registers (Rd).
  • If accordingly defined for the OpCodes, more than 4 internal registers can be used without increasing the complexity by using enables (en) to select the specific register to write in the data. Multiple registers are connected to the same bus, e.g. Rd0, Rd4, Rd8, Rd12. However not all combinations of register transfers are possible with this structure. If e.g. MUL uses Rd0 and Rd1 the following registers are blocked for the OpCode executed in parallel: Rd4, 5, 8, 9, 12, 13.
  • Register Map:
  • Figure US20090172351A1-20090702-C00001
  • Datapath Architecture: see FIG. 18 now. The Sequencer PAEs
  • Since there is a need to be able to run control flow dominated applications on the XPP III as well, Sequencer PAEs will be introduced. Such a PAE can be thought of as a very simple kind of processor which is capable to run sequential code within the XPP. This allows the efficient implementation of control flow oriented applications like the H.264 Codec on the array whereas with SEQ-PAEs missing the realization would be more difficult and resource consuming.
  • The SEQ-PAEs are not built from scratch. Instead such a tile will be built up by a close coupling of a ALU-PAE 1902 and neighboring RAM-PAE 1900, which can be seen in FIG. 19 now.
  • Therefore the functionality of the ALU- as well as RAM-PAE has to be enhanced to be able to fulfill the requirements of such a SEQ-PAE. This information will be given next.
  • ALU-PAE Enhancements
  • The extended version of the ALU-PAE is given in FIG. 20 now. To the right border the registers which are controlling the different modules can be seen. Those registers will be used in normal—as well as in SEQ-mode. Therefore the appropriate control signals from the local configuration manager and the RAM-PAE are first merged by OR-Gates and then are forwarded to the register whereas it has to be ensured that in normal mode the signals from the RAM-PAE are 0 and vice versa.
  • Furthermore, since the ALU-PAE marks the execution part of the tiny processor, there is a need to transfer values to and from the internal register directly to the RAM. Therefore, an additional multiplexer AM1 2000 is inserted in the multiplexer hierarch of section 2. In the normal mode this multiplexer feeds the word from its predecessor to the next stage whereas in the SEQ mode an immediate value provided by the Imm. Register will be delivered. In addition in SEQ mode a value of one of the internal registers can be delivered to the RAM-PAE via the output of the multiplexer. However, it has also to be considered to provide a “LOAD reg, imm” since this is not much slower than “ADD reg, reg, imm”
  • To enable the RAM-PAE to write data to the internal register of the ALU-PAE another multiplexer is inserted in the multiplexer chain of section 4. Similar to the scenario given above this multiplexer will only be activated in SEQ mode whereas in normal mode this multiplexer will just forward the data of its predecessor. In one preferred embodiment, it is suggested to place RS2 behind BSFT-Mux in view of the delay. Data could be written into the internal registers via this. (LOAD reg, imm)]
  • As it has already been discussed, data can be processed during one or two cycles by the ALU-PAE depending on the selected arithmetic function. Due to the auto synchronization feature of the XPP and due to the fact that in normal mode a successive operation will not start before the previous one is finished, it does not really care if an operation lasts one or two clock cycles. Whereas the tile is working in SEQ mode there is a difference since we assume to have a pipeline character. This means that a one cycle operation could run in parallel with a two cycle module where the operation would be executed in stage two at this time. Due to the limited multiplexing capacities of a word—16 Bit—only one result could be written to the connected registers whereas the other one would be lost. In general there are three possibilities to solve this problem.
  • The first one could be that the compiler is capable to handle this problem. This would mean that it has to know about the pipeline structure of the whole SEQ-PAE as well as of a tile in detail. To prohibit a parallel execution the compile would have to add a NOP to every two cycle instruction for the structure given above. However this idea seems not to be convenient due to the strong relation between the hardware structure and the compiler. The drawback would be that every time changes are made to the hardware the compile would most likely have to be trimmed to the new structure.
  • The second idea could be to recognize such a situation in the decode stage of the pipeline. If a two cycle instruction is directly followed by an instruction accessing a one stage arithmetic unit it has to be delayed by one clock cycle as well.
  • The last possibility is to make the complete ALU-PAE look like a two stage execution unit. Therefore only one register has to be included in the multiplexer chain of section four right after the crossover from the multiplexer separating the one stage of the two stage modules. Obviously, this is preferred.
  • Comparing the last to ideas the third one seems to be the best one since only one register has to be inserted If we a closer look to the second solution special logic would be needed for analyzing the disallowed combination of instructions as well as logic for stopping the program counter (PC) and the instruction retardation. It has to be assumed that this logic would require much more area than the registers as well as the fact that the delay of the logic would possibly increase the critical path.
  • Since it has to be distinguished between the SEQ and the normal mode where a one cycle execution should still be available. This possibility is given by a multiplexer which allows bypassing the RS2 Register as shown in the corresponding figure (FIG. 20 now).
  • The RAM-PAE A Short Description of the Stages
  • To get the SEQ-PAE working there still has to be provided more functionality. Right now the RAM-PAE 2100 will take care of it. As a first approach for realizing the sequencer a four stage pipeline has been chosen. The stages are, as it can be seen in FIG. 21 now:
      • The fetch stage 2102
      • The decode stage 2104
      • The execution stage 1 2106
      • The execution stage 2 2108
  • In the fetch stage the program counter for the next clock cycle will be calculated. This means that it will be either incremented by 1 via a local adder or one of the program counters from the decode or execution stage 2 will be selected. The program counter of the execution stage thereby provides the address if a call instruction occurred whereas the program counter of the execution stage provides the PC if there has been a conditional jump. Right now the branch address can either be calculated out of the current PC and a value which either is an immediate value or a value from internal registers of the ALU-RAM—indirect addressing mode—or an absolute value. This e.g. is necessary if there is return from a subroutine to the previous context whereas the according absolute PC will be provided by the stack bank.
  • In the decode stage the instruction coming from the code bank will be decoded. Necessary control signals and, if needed, the immediate value, for the internal execution stage 1 as well as for the execution stage 1 of the ALU-PAE will be generated. The signals include the control information for the multiplexers and gating stages of section two of the ALU-PAE, the operation selection of the ALU's tiles, e.g. signed or unsigned multiplication, and the information whether the stack pointer (SP) should be in/decremented or kept unchanged in the next stage depending on the fact if the instruction is either a call or jump. In case a call instruction occurred a new PC will be calculated in parallel and delivered to the fetch stage.
  • Furthermore the read address and read enable signal to the data bank will be generated in case of a load instruction. In the execution stage 1, which by the way is the first stage available on the ALU as well as on the RAM-PAE, the control signals for execution stage 2 of the ALU-PAE are generated. Those signals will take care that the correct output of one of the arithmetical tiles will be selected and written to the enabled registers. If the instruction should be a conditional jump or return the stack pointer will be modified in this stage. In parallel the actual PC will be saved to the stack bank at the address give by the Rsp EX1 register in case of a branch. Otherwise, in case of a return, the read address as well as the read enable signal will be applied to the stack bank.
  • In execution stage 2 the value of the PC will be calculated and provided to the multiplexer in the fetch stage in case of a jump. At the time write address and write enable signal to the data bank are generated if data from the ALU have to be saved.
  • Instead of two adders, it is possible to provide only one in the rpp path.
  • Pipeline Actions
  • In the following section a short overview of the actions that are taking place in the four stages will be given for some basic instructions. It should help to understand the behaviour of the pipeline. Since the instruction which is going to be discussed will be available at the instruction register the actins of the fetch stage will be omitted in this representation.
  • IR: Instruction Register DR: Data Register DB: Data Bank SBR: Store/Branch Register
  • Instruction: Load value from data bank to R[n]
  • ALU-PAE RAM-PAE
    decode stage
    IR_ex1 <- IR_ex2
    Control Registerset EXS1 <- 0x0
    Imm. EXS1 <- 0x0
    Rpp_ex1 <- Rpp_de
    DB_radr <0 imm
    Execution stage
    1
    IR_ex2 <- IR_ex1
    Control Registerset EXS2 <- enable R, set
    mux section 4
    Rpp_ex2 <- Rpp_ex1
    DR <- DB_radr [imm]
    Rsp_ex2 <- Rsp_ex1
    Execution stage 2
    R[n] <- DR

    Instruction: Store value from R[n] to data bank
  • ALU-PAE RAM-PAE
    decode stage
    IR_ex1 <- IR_ex2
    Control Registerset EXS1 <- enable mux
    section
    2
    Imm. EXS1 <- 0x0
    Rpp_ex1 <- Rpp_de
    Execution stage
    1
    SBR <- R[n] IR_ex2 <- IR_ex1
    Control Registerset EXS2 <- 0x0
    Rpp_ex2 <- Rpp_ex1
    Rsp_ex2 <- Rsp_ex1
    Execution stage
    2
    DB_wradr <- imm
    DB_wrdata <- SBR
  • 1.3 Array Structure
  • First advantages over the prior art are obtained by using function folding PAEs. These as well as other PAEs can be improved.
  • The XPP-II structure of the PAEs consumes much area for FREG and BREG and their associated bus interfaces. In addition feed backs through the FREGs require the insertion of registers into the feedback path, which result not only in an increased latency but also in a negative impact onto the throughput and performance of the XPP.
  • A new PAE structure and arrangement is proposed with the expectation to minimize latency and optimize the bus interconnect structure to achieve an optimized area.
  • The XPP-III PAE structure does not include BREGs any more. As a replacement the ALUs are alternating flipped horizontally which leads to improved placement and routing capabilities especially for feedback paths i.e. of loops.
  • Each PAE contains now two ALUs and two BP paths 2200, one from top to bottom and one flipped from bottom to top.
  • (see FIG. 22 now)
  • 1.4 Bus Modifications
  • Within this chapter optimizations are described which might reduce the required area and the amount of busses. However, those modifications comprise several proposals, since they have to be evaluated based on real algorithms. It is possible to e.g. compose a questionnaire to collect the necessary input from the application programmes.
  • 1.4.1 Next Neighbour
  • In XPP-II architecture a direct horizontal data path between two PAEs block a vertical data bus. This effect increases the required vertical busses within a XPP and drives cost unnecessarily. Therefore in XPP-III a direct feed path between horizontal PAEs is proposed.
  • In addition horizontal busses-of different length are proposed, i.e. next neighbour, crossing 2 PAEs, crossing 4 PAEs.
  • 1.4.2 Removal of Registers in Busses
  • In XPP-II registers are implemented in the vertical busses which can be switched on by configuration for longer paths. This registers can furthermore be preloaded by configuration which requires a significant amount of silicon area. It is proposed to not implement registers in the busses any more, but to use an enhanced DF or Bypass (PB) part within the PAEs which is able to reroute a path to the same bus using the DF or BP internal registers instead.
  • (see FIG. 23 now)
  • Here, it might be to decide how many resources are saved for the busses and how many are needed for the PAEs and/or how often must registers be inserted, are 1 or max. 2 paths enough per PAE (limit is two since DF/BP offers max. 2 inputs)
  • 1.4.3 Shifting n:1, 1:n Capabilities from Busses to PAEs
  • In XPP-II n:1 and 1:n transitions are supported by the busses which require a significant amount of resources i.e. for the sample-and-hold stage of the handshake signals.
  • Depending on the size of n two different capabilities are provided with the new PAE structure:
  • n ≦ 2 The required operations are done within the DF path of the
    PAE
    2 ≦ n ≦ 4 The ALU path is required since 4 ports are necessary
    n > 4 Multiple ALUs have to be combined.
  • This method saves a significant amount of static resources in silicon but requires dedicated PAE resources at runtime.
  • Here, it. might be worthwhile to evaluate how much silicon area is saved per bus how often occurs n=2, 2≦n≦4, n>4 the ratio between saved silicon area and required PAE resource and to decide on the exact bus structure in response to one or all of said criteria.
  • 1.5 FSM in RAM-PAEs
  • In the XPP-II architecture implementing control structures is very costly, a lot of resources are required and programming is quite difficult.
  • However memories can be used for a simple FSMs implementation. The following enhancement of the RAM-PAEs offers a cheap and easy to program solution for many of the known control issues, including HDTV.
  • (see FIG. 24 now)
  • Basically the RAM-PAE is enhanced by a feedback from the data output to the address input through a register (FF) 2402 to supply subsequent address within each stage. Furthermore additional address inputs from the PAE array can cause conditional jumps; data output will generate event signals for the PAE array. Associated counters 2404 which can be reloaded and stepped by the memory output generate address input for conditional jumps (i.e. end of line, end of frame of a video picture).
  • A typical RAM PAE implementation has about 16-32 data bits but only 8-12 address bits. To optimize the range of input vectors it is therefore suggested to insert some multiplexers at the address inputs to select between multiple vectors, whereas the multiplexers are controlled by some of the output data bits.
  • One implementation for an XPP having 24 bit wide data busses is sketched in FIG. 25 now. 4 event inputs are used as input, as well as the lower for bits of input port Ri0. 3 counters are implemented, 4 events are generated as well as the lower 10 bits of the Ro0 port.
  • The memory organisation suggested here may be as follows:
      • 8 address bits
      • 24 data bits (22 used)
        • 4 next address
        • 8 multiplexer selectors
        • 6 counter control (shared with 4 additional next address)
        • 4 output
          (see FIG. 25 now)
  • It is to be noted that the typical memory mode of the RAM-PAE is not sketched in the block-diagram mentioned above.
  • The width of the counters is according to the bus width of the data busses.
  • For a 16 bit implementation it is suggested to use the carry signal of the counters as their own reload signal (auto reload), also some of the multiplexers are not driven by the memory but “hard wired” by the configuration.
  • The proposed memory organisation is as follows:
      • 8 address bits
      • 16 data bits (16 used)
        • 4 next address
        • 4 multiplexer selectors
        • 3 counter control (shared with 3 additional next address)
        • 4 output
          (see FIG. 26 now)
  • It is to be noted that actually the RAM-PAEs typically will not be scaleable any more since the 16-bit implementation is different from the 24-bit implementation. It is to decide whether the striped down 16-bit implementation is used for 24-bit als
  • 1.6 IOAG Interface 1.6.1 Address Generators and Bit Reversal Addressing
  • Implemented within the IO interfaces are address generators 2700 to support e.g. 1 to 3 dimensional addressing directly without any ALU-PAE resources. The address generation is then done by 3 counters; each of them has e.g. configurable base address, length and step width.
  • The first counter (CNT1) has a step input to be controlled by the array of ALU-PAEs. Its carry is connected to the step input of CNT2, which carry again is connected to the step input of CNT3.
  • Each counter generates carry if the value is equal to the configured length. Immediately with carry the counter is reset to its configured base address.
  • One input is dedicated for addresses from the array of ALU-PAEs which can be added to the values of the counters. If one or more counters are not used they are configured to be zero.
  • In addition CNT1 supports generation of bit reversal addressing by supplying multiple carry modes.
  • (see FIG. 27 now)
  • 1.6.2 Support for Different Word Width
  • In general it is necessary to support multiple word width within the PAE array. 8 and 16 bit wide data words are preferred for a lot of algorithms i.e. graphics. In addition to the already described SIMD operation, the IOAG allows the split and merge of such smaller data words.
  • Since the new PAE structure allows 4 input and 4 output ports, the IOAG can support word splitting and merging as follows:
  • I/O 0 I/O 1 I/O 2 I3
    16/24/32-bit data address
    word
    16-bit data word 16-bit data word address
    8-bit data word 8-bit data word 8-bit data word address
  • Input ports are merged within the IOAG for word writes to the IO.
  • For output ports the read word is split according to the configured word width.
  • 1.7 Multi-Voltage Power Supply and Frequency Stepping
  • PAEs and busses are built to perform depending on the workload. Therefore the clock frequency is configurable according to the data bandwidth, in addition clock gating for registers is supported, busses are decoupled using row of AND gates. Dynamically clock pulses are gated, whenever no data can be processed.
  • Depending on the clock frequency in the PAEs and the required bandwidth for the busses the voltage is scaled in an advanced architecture. Within the 4S project such methods are evaluated and commercially usable technologies are researched.
  • 1.8 XPP/μP Coupling
  • For a closed coupling of a μP and a XPP a cache and register interface would be the preferable structure for high level tools like C-compilers. However such a close coupling is expected not to be doable in a very first step.
  • Yet, two different kind of couplings may be possible for a tight coupling:
      • a) memory coupling for large data streams: The most convenient method with the highest performance is a direct cache coupling, whereas an AMBA based memory coupling will be sufficient for the beginning (to be discussed with ATAIR)
      • b) register coupling for small data and irregular MAC operations: Preferable is a direct coupling into the processors registers with an implicit synchronisation in the OF-stage of the processor pipeline. However coupling via load/store- or in/out-commands as external registers is acceptable with the penalty of a higher latency which causes some performance limitation.
    2 Specification of ALU-PAE 2.1 Overview
  • In a preferred embodiment, the ALU-PAE comprises 3 paths:
      • ALU arithmetic, logic and data flow handling
      • BP bypass
  • Then, each of the paths contains 2 data busses and 1 event bus. The busses of the DF path can be rerouted to the ALU path by configuration.
  • 2.2 ALU Path Registers
  • The ALU path comprises 12 data registers:
      • Ri0-3 Input data register 0-3 from bus
      • Rv0-3 Virtual output data register 0-3 to bus
      • Rd0-3 Internal general purpose register 0-3
      • Vi0-3 V event input 0-3 from bus
      • Ui0-3 U event input 0-3 from bus
      • Ev0-3 Virtual V event output register 0-3 to bus
      • Eu0-3 Virtual U event output register 0-3 to bus
      • Fu0-3
      • Fv0-3 Internal Flag u and v registers according to the XPP-II PAE's event busses
      • Acc Accumulator
  • Eight instruction registers are implemented; each of them is 24 bit wide according to the opcode format.
      • Rc0-7 Instruction registers
  • Three special purpose registers are implemented:
      • Rlc Loop Counter, configured by CM, not accessible through ALU-PAE itself.
        • Will be decremented according to JL opcode. Is reloaded after value 0 is reached.
      • Rjb Jump-Back register to define the number of used entries in Rc[0 . . . 7]. It is not accessible through ALU-PAE itself.
        • If Rpp is equal to Rjb, Rpp is immediately reset to 0. The jump back can be bound to a condition i.e. an incoming event. If the condition is missing, the jump back will be delayed.
      • Rpp Program pointer
    2.3 Data Duplication and Multiple Input Reads
  • Since Function Folding can operate in a purely data stream mode as well as in a sequential mode (see 1.2) it is useful to support Ri reads in dataflow mode (single read only) and sequential mode (multiple read). The according protocols are described below:
  • Each input register Ri can be configured to work in one of two different modes:
  • Dataflow Mode
  • This is the standard protocol of the XPP-II implementation:
  • A data packet is taken read from the bus if the register is empty, an ACK handshake is generated. If the register is not empty ACK the data is not latched and ACK is not generated. If the register contains data, it can be read once. Immediately with the read access the register is marked as empty. An empty register cannot be read.
  • Simplified the protocol is defined as follows:
  • RDY & empty → full
    → ACK
    RDY & full → notACK
    READ & empty → stall
    READ & full → read data
    → empty

    Please note: pipeline effects are not taken into account in this description and protocol.
  • Sequencer Mode
  • The input interface is according to the bus protocol definition: A data packet is taken read from the bus if the register is empty, an ACK handshake is generated. If the register is not empty ACK the data is not latched and ACK is not generated.
  • If the register contains data it can be read multiple times during a sequence. A sequence is defined from Rpp=0 to Rpp=Rjb. During this time no new data can be written into the register. Simultaneously with the reset of Rpp to 0 the register content is cleared an new data is accepted from the bus.
  • Simplified the protocol is defined as follows:
  • RDY & empty → full
    → ACK
    RDY & full → notACK
    READ & empty → stall
    READ & full → read data
    (Rpp == Rjb) → empty

    Please note: pipeline effects are not taken into account in this description and protocol.
  • 2.4 Data Register and Event Handling
  • Data registers are directly addressed, each data register can be, individually selected. Three address opcode form is used, rt←rs1, rs0. An virtual output register is selected by adding ‘o’ behind the register. The result will be stored in rt and copied to the virtual output register rv as well according to the rule op out (rv, rt)←rs1, rs0.
  • Please note, accessing input and (virtual) output registers follow the rules defined in chapter 2.3.
  • Source rt Notation
    000 0 Rd0
    001 1 Rd1
    010 2 Rd2
    011 3 Rd3
    100 0 Ri0
    101 1 Ri1
    110 2 Ri2
    111 3 Ri3
  • Target rt rv Notation
    000 0 Rd0
    001 1 Rd1
    010 2 Rd2
    011 3 Rd3
    100 0 0 Ro0
    101 1 1 Ro1
    110 2 2 Ro2
    111 3 3 Ro3
  • Events are used equal to data registers. All input and internal events can be addressed directly, output events are used whenever an ‘o’ is added behind the event.
  • Etp ept epv Notation
    000 0 Fu0, Fv0
    001 1 Fu1, Fv1
    010 2 Fu2, Fv2
    011 3 Fu3, Fv3
    100 0 0 Eou0, Eov0
    101 1 1 Eou1, Eov1
    110 2 2 Eou2, Eov2
    111 3 3 Eou3, Eov3
  • Es4/et4 et ev Notation
    0000 0 v0
    0001 1 v1
    0010 2 v2
    0011 3 v3
    0100 0 0 vo0
    0101 1 1 vo1
    0110 2 2 vo2
    0111 3 3 vo3
    1000 0 u0
    1001 1 u1
    1010 2 u2
    1011 3 u3
    1100 0 0 uo0
    1101 1 1 uo1
    1110 2 2 uo2
    1111 3 3 uo3
  • 2.4.1. ACCumulator Mode
  • To achieve low power consumption and for better supporting DSP-like algorithms an accumulator register is available which can be addressed by just one set bit for the result register (ao) and operand register (ai).
  • For commutative operations always operand register 1 is replaced by ai. For non commutative operations as SUBtract operand register 1 selects, whether ai is the first or second operand. register 2 defines the accordingly other operand.
  • It is to be noted that it has to be clarified whether a real ACCumulator mode makes sense or just a MAC-command should be implemented to handle the multiply accumulate in a single command consuming two clock cycles with an implicit hidden accumulator access.
  • 2.4.2. Parameter Stack Mode (PSTACK)
  • Unused entries in the Opcode Registers Rc can operate as stack for constants and parameters. At Rpp==0000 the Rps PStack registers points to Rjb+1, which means the PStack area starts immediately behind the last entry in the Opcode register file.
  • To access the PStack, the FF-PAE must be in the Fast-Parameter Mode. Each read access to Ri3 is redirected to read from the PStack, whereas after each read access the pointer incremented with one. There is no check for an overflow of the PStack pointer implemented; an overflow is regarded as a program bug.
  • (see FIG. 28 now)
  • 2.4.3 n:1 Transitions
  • n:1 transitions are not supported within the busses any more. Alternatively simple writes to multiple output registers Ro and event outputs Eo are supported. The Virtual Output registers (Rv) and Virtual Event (Ev) are translated to real Output registers (Ro) and real Events (Eo), whereas a virtual register can be mapped to multiple output registers.
  • To achieve this a configurable translation table is implemented for both data registers and event registers:
  • Rv Ro0 Ro1 Ro2 Ro3
    Ev Eo0 Eo1 Eo2 Eo3
    0
    1
    2
    3
  • Example
  • Rv0 mapped to Ro0, Ro1
    Rv1 mapped to Ro2
    Rv2 mapped to Ro3
    Rv3 unused
  • Rv Ro0 Ro1 Ro2 Ro3
    0 1 1 0 0
    1 0 0 1 0
    2 0 0 0 1
    3 0 0 0 0
  • 2.4.4 Accessing Input and Output Registers (Ri/Rv) and Events (Ei/Ev)
  • Independently from the opcode accessing input or output registers or events is defined as follows:
  • Reading an Input Register:
  • Register
    status Operation
    empty Wait for data
    full Read data and continue operation
  • Writing to an Output Register:
  • Register
    status Operation
    empty Write data to register
    full Wait until register is cleared and can accept new data
  • 2.4.5. Multi-Config Mode
  • The Multi-Config Mode allows for selecting 1 out of maximum 4 stored configurations. Incoming events on Fui0,1 and Fvi0,1 select one of the 4 configurations. Only one Event shall be active at a clock cycle.
  • The selection is done by a simple translation, each event points to a specific memory address.
  • (see FIG. 29 now)
  • Long configurations may use more than 3 opcode by using the next code segments as well. In this case, the according events can not be used.
  • (see FIG. 30 now)
  • 2.5 Opcode Format
  • 24 bit wide 3 address opcodes are used in a preferred embodiment:

  • op rt←ra, rb
  • Source registers can be Ri and Rd, target registers are Rv and Rd. A typical operation targets only Rd registers. If the source register for ra is Ri[x] the target register will be Rd[x].
  • The translation is shown is the following table:
  • Target Source ra
    Rd0 Rd0
    Rd1 Rd1
    Rd2 Rd2
    Rd3 Rd3
    Rd0 Ri0
    Rd1 Ri1
    Rd2 Ri2
    Rd3 Ri3
  • Each operation can target a Virtual Output Register Rv by adding an out tag ‘o’ as a target identifier to the opcode:

  • op(r t , ro t)←r a , r b
  • Data is transferred to the virtual output register and to the according internal register as well:
  • Rv Rd
    Rv0 Rd0
    Rv1 Rd1
    Rv2 Rd2
    Rv3 Rd3
  • 2.5.1 Conditional Execution
  • The SKIPE command supports conditional execution. Either an event or ALU flag is tested for a specific value. Depending on the check either the next two addresses are executed (Rpp+1) or skipped (Rpp+3). If an incoming event is checked, the program execution stops until the event is arrived at the event port (RDY handshake set).
  • SKIPE supports conditional execution of any OpCode which is not larger than two memory entries.
  • In SEQ-PAEs, which support CALL and RET OpCodes, also stack based subroutine calls are supported.
  • 2.6 Clock
  • The PAE can operate at a configurable clock frequency of
      • 1× Bus Clock
      • 2× Bus Clock
      • 4× Bus Clock
        • [8× Bus Clock]
    2.7 The DF Path
  • The DataFlow path comprises the data registers Bri0 . . . 3 and Bro0 . . . 3 as well as the event register Bui/Bvi0 . . . 3 and Buo/Bvo0 . . . 3.
  • The main purpose of the DF path is to establish bus connections in the vertical direction. In addition the path includes a 4 stage FIFO for each of the data and event paths.
  • The DF path supports numerous instructions, whereas the instruction is selected by configuration and only one of them can be performed during a configuration, function folding is not available.
  • The following instructions are implemented in the DF path:
      • 1. ADD, SUB
      • 2. NOT, AND, OR, XOR
      • 3. SHL, SHR, DSHL, DSHR, DSHRU
      • 4. EQ, CMP, CMPU
      • 5. MERGE, DEMUX, SWAP
      • 6. SORT, SORTU
      • 7. ELUT
    2.9 Parameter Broadcast and Update
  • Parameters and constants can be updated fast and synchronous using input register Ri3 and event input Ei7.
  • (see FIG. 31 now)
  • Depending on the update mode, data packets at the input register Ri3 are copied subsequently into Rd3, Rd2 and Rd1 at each access of the according register by the PAE, if the event Ei7 is set. Afterwards all input data at Ri3 is propagated to the output register Ro3, also the Eo7 event output is set, to indicate following PAEs the occurrence of a fast parameter update, which allows to chain PAEs, together (i.e. in a multi-TAP FIR filter) and updating all parameters in the chain.
  • UPM1 UPM2 UPM3
    Register Upmcfg = upmcfg = upmcfg =
    access Ei7 0100 1000 1100
    0
    read Rd3 1 Ri3 -> Rd3 Ri3 -> Rd3 Ri3 -> Rd3
    read Rd2 1 Ri3 -> Ro3 Ri3 -> Rd2 Ri3 -> Rd2
    1 -> Eo7
    read 1 Ri3 -> Ro3 Ri3 -> Ro3 Ri3 -> Rd1
    Rd1 1 -> Eo7 1 -> Eo7
    1 Ri3 -> Ro3 Ri3 -> Ro3 Ri3 -> Ro3
    1 -> Eo7 1 -> Eo7 1 -> Eo7
  • Also the OpCode UPDATE updates all registers subsequently if Ei7 is set, depending on the Update Parameter Mode (upmcfg=nn10).
  • Also the register update can be configured to occur whenever Rpp==0 and Ei7 is set by upmcfg=nn01.
  • In both cases nn indicates the number of registers to be updated (1-3).
  • Ei7 must be 0 for at least one clock cycle to indicate the end of a running parameter update and the start of a new update.
  • 3 Input Output Address Generators (IOAG)
  • The IOAGs are located in the RAM-PAEs and share the same registers to the busses. An IOAG comprises 3 counters with forwarded carries. The values of the counters and an immediate address input from the array are added to generate the address. One counter offers reverse carry capabilities.
  • 3.1 Addressing Modes
  • Several addressing modes are supported by the IOAG to support typical DSP-like addressing:
  • Mode Description
    Immediate Address generated by the PAE array
    xD counting Multidimensional addressing using IOAG
    internal counters xD means 1D, 2D, 3D
    xD circular Multidimensional addressing using IOAG
    internal counters, after overflow counters-
    reload with base address
    xD plus immediate xD plus a value from the PAE array
    Stack decrement after “push” operations
    increment after “read” operations
    Reverse carry Reverse carry for applications such as FFT
  • 3.1.1 Immediate Addressing
  • The address is generated in the array and directly fed through the adder to the address output. All counters are disabled and set to 0.
  • 3.1.2 xD Counting
  • Counters are enabled depending on the required dimension (x-dimensions require x counters). For each counter a base address and the step width as well as the maximum address are configured. Each carry is forwarded to the next higher and enabled counter; after carry the counter is reloaded with the start address.
  • A carry at the highest enabled counter generates an event, counting stops.
  • 3.1.3 xD Circular
  • The operation is exactly the same as for xD counting, with the difference that a carry at the highest enabled counter generates an event, all counters are reloaded to their base address and continue counting.
  • 3.1.4 Stack
  • One counter (CNT1) is used to decrement after data writes and increment after data reads. The base value of the counter can either be configured (base address) or loaded by the PAE array.
  • 3.1.5 Reverse Carry
  • Typically carry is forwarded from LSB to MSB. Forwarding the carry to the opposite direction (reverse carry) allows generating address patterns which are very well suited for applications like FFT and the like. The carry is discarded at MSB.
  • For using reverse carry a value larger than LSB must be added to the actual value to count, wherefore the STEP register is used.
  • Example Base=0h Step=1000b
  • Step Counter Value
    1 B0 . . . 00000
    2 B0 . . . 01000
    3 B0 . . . 00100
    4 B0 . . . 01100
    5 B0 . . . 00010
    . . . . . .
    16  B0 . . . 01111
    17  B0 . . . 00000
  • The counter is implemented to allow reverse carry at least for STEP values of −2, −1, +1, +2.
  • 4. ALU/RAM Sequencers—SEQ-PAEs
  • Each ALU-PAE at the left or right edge of the array can be closely coupled to the neighbouring RAM-PAEs as an IP option, thus allowing for configure a sequencer. For compatibility reasons, the data and opcode width of the sequencer is 16 bits.
  • (see FIG. 19 now)
  • The ALU-PAEs can operate exactly as array internal ALU-PAEs but have several extensions. Operation is Sequencer mode the register file is 8 data registers wide, Fu and Fv flags are, used as carry, sign, null, overflow and parity ALU flag word 112.
  • Event Processor
    Registers Registers
    FF-Mode SEQ-Mode
    Fu0 carry
    Fu1 sign
    Fu2 null
    Fu3 overflow
    Fv0 parity
  • The address width is accordingly 16 bit. However since the RAM-PAE size is limited it is segmented into 16 segments. Those segments are used for code, data and stack 3300 and must be individually preloaded by the compiler.
  • 4 segment registers point to the specific segments:
  • CodeBank Points to the actual code segment
    DataBank Points to the actual data segment
    StackBank Points to the actual stack segment
    AuxiliaryBank Points to any Segment (but code),
    allowing copy operations between
    segments

    (see FIG. 32 now)
  • The compiler has to take care that necessary data segments are preloaded and available. For cost reasons there is no automatic TLB installed.
  • Also segments have to be physically direct addressed due to the absence of TLBs. This means that the compiler has to implement range checking functions for according addresses.
  • Code segments behave accordingly to data segments. The compiler has to preload them before execution jumps into them. Also jumps are physically direct addressed, due to the absence of TLBs again.
  • A relocation of any segments is not possible; the mapping is fixed by the compiler.
  • The memory layout is shown in FIG. 33 now. A simple check mechanism is implemented to validate or invalidate memory segments.
  • At least the CodeBank (CB) and StackBank (SB) must be set. The first CodeBank must start at location 0000 h. For all other banks 0000 h is an illegal entry. Loading segments to the memory validates them, accordingly flushing invalidates them.
  • Memory banks 3500 are updates in terms of loaded or flushed in the background by a DMA engine controlled by the following opcodes
  • LOADDSEG Loads and validates a data/auxiliary/stack bank
    STOREDSEG Stores and invalidates a data/auxiliary/stack bank
    LOADCSEG Loads and validates a code bank
  • The address generators 3502 in the IOAG interfaces can be reused as DMA engine.
  • Memory banks can be specifically validated or invalidated as follows:
  • VALIDATESSEG Validates a bank
    INVALIDATESEG Invalidates a bank
  • The bank pointers are added to the address of any memory access. Since the address pointer can be larger than the 6 bits addressing a 64 line range, segment boarders are not “sharp”, which means, can be crossed without any limitation. However the programmer or compiler has to take care that no damage occurs while crossing them. If an invalid segment is reached a flag or trap is generated indicating the fault, eventually just wait states are inserted if a segment preload is running already in the background.
  • (see FIG. 34 now)
  • Alternatively a more advanced valid checking scheme can be implemented as shown in FIG. 35 now.
  • In difference to PAEs which require 24-bit instructions sequencers use 16-bit instructions only. To use the same instruction set and to keep the decoders simple, just the last 8 bits are discarded in sequencer mode.
  • 4.1 IOAGs
  • IOAGs may comprise a 4-8 stage data output buffer to balance external latency and allow reading the same data address directly after the data has been written, regardless of external bus or memory latencies (up to the number of buffer stages).
  • In the following, a number of OpCodes and their meanings is suggested:
  • ADD ADD DESCRIPTION
  • Add rs1 and rs2.
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    et4
    0nnn F[nnn],
    F[nnn]
    1nnn Eo[nnn],
    Eo[nnn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rt: target register
    et4: target event
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ carry, sign, null, parity
    FF carry -> Fu/Euo
  • ADDC
  • ADD with Carry
  • DESCRIPTION
  • Add rs1 and rs2 with Carry.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Event Input E=
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    etp
    0nn Fu[nn],
    Fv[nn]
    1nn Euo[nn],
    Evo[nn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rt: target register
    es4: source event
    etp: target event pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ carry, sign, null, parity, overflow
    FF carry -> Fu/Euo, overflow -> Fv/Evo
  • AND Logical AND DESCRIPTION
  • Logical AND operation
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    et4
    0nnn F[nnn],
    F[nnn]
    1nnn Eo[nnn],
    Eo[nnn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rt: target register
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • Mode
    SEQ zero, sign, parity
    FF zero, sign -> F/Eo
  • BSHL Barrel SHift Left DESCRIPTION
  • Shift rs1 left by rs2 positions and fill with zeros.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • BSHR Barrel SHift Right DESCRIPTION
  • Shift rs1 right by rs2 positions, sign bit is duplicated.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • BSHRU Barrel SHift Right Unsigned DESCRIPTION
  • Shift rs1 right by rs2 positions and fill with zeros.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • CLZ Count Leading Zeros DESCRIPTION
  • Count the amount of leading zeros if the number is positive, accordingly, count the amount of leading ones if the number is negative.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    etp
    0nn Fu[nn],
    Fv[nn]
    1nn Euo[nn],
    Evo[nn]
    I1 -> O
    Rpp++
    rs: source register
    rt: target register
    etp: target event pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • Mode
    SEQ sign, parity, zero
    FF sign, zero -> F/Eo
  • CLZU Count Leading Zeros Unsigned DESCRIPTION
  • Count the amount of leading zeros of an unsigned number.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    et4
    0nnn F[nnn]
    1nnm Eo[nnn]
    I1 -> O
    Rpp++
    rs: source register
    rt: target register
    et4: target event
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • Mode
    SEQ sign, parity, zero
    FF zero -> F/Eo
  • CMP CoMPare DESCRIPTION
  • Compair two values
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Event output Eo =
    etp
    0nn Fu[nn],
    Fv[nn]
    1nn Euo[nn],
    Evo[nn]
    Rpp++
    rs: source register
    etp: target event pair
  • Input Registers: Ri/Rd Output Registers:
  • Input Flags:
  • Output Flags:
  • Mode
    SEQ sign, zero
    FF sign, zero -> F/Eo
  • CMPU CoMPare Unsigned DESCRIPTION
  • Compair two unsigned values.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Event output Eo =
    etp
    0nn Fu[nn],
    Fv[nn]
    1nn Euo[nn],
    Evo[nn]
    Rpp++
    rs: source register
    etp: target event pair
  • Input Registers: Ri/Rd Output Registers:
  • Input Flags:
  • Output Flags:
  • Mode
    SEQ sign, zero
    FF sign, zero -> F/Eo
  • DEMUX FF DEMUltipleX Data Stream DESCRIPTION
  • Moves input to one of two outputs, depending on flag.
  • Action:
  • Input I =
    rs
    0nn Rd[nn]
    1nn Ri[nn]
    Output O1 =
    rt1
    0nn Rd[nn]
    1nn Ro[nn]
    Output O2 =
    rt2
    0nn Rd[nn]
    1nn Ro[nn]
    Event E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    E
    0 O1 = I
    1 O2 = I
    Rpp++
    rt: target register
    rs: source register
    es4: source event
  • Input Registers: Ri/Rd Output Registers: Rd/Ro, Rd/Ro Input Flags: Ei/F Output Flags:
  • DIV SEQ DIVide DESCRIPTION
  • Divide rs1 by rs2. Result in rtp, reminder in rtp+1.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • DIVU SEQ DIVide Unsigned DESCRIPTION
  • Divide unsigned rs1 by rs2. Result in rtp, reminder in rtp+1.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • DSHL Double SHift Left DESCRIPTION
  • Shift rs1 and rs2 left. LSB is filled with event.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Event Input E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    Event output Eo =
    etp
    0nn Fu[nn],
    Fv[nn]
    1nn Euo[nn],
    Evo[nn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
    etp: target event pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ MSB(rs1) -> carry, MSB(rs2) -> sign
    FF MSB(rs1) -> Fu/Euo, MSB(rs2) -> Fv/Evo
  • DSHR Double SHift Right DESCRIPTION
  • Shift rs1 and rs2 right, sign bit is duplicated.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[ (n * 2)],
    Ro[(n * 2) + 1]
    Event output Eo =
    etp
    0nn Fu[nn],
    Fv[nn]
    1nn Euo[nn],
    Evo[nn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
    etp: target event pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ LSB(rs1) -> carry, LSB(rs2) -> sign
    FF LSB(rs1) -> Fu/Euo, LSB(rs2) -> Fv/Evo
  • DSHRU Double SHift Right Unsigned DESCRIPTION
  • Shift rs1 and rs2 right and fill with event.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Event Input E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    Event output Eo =
    etp
    0nn Fu[nn],
    Fv[nn]
    1nn Euo[nn],
    Evo[nn]
    I1, I2 -> Θ
    Rpp++
    rs: source register
    rtp: target register pair
    etp: target event pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ LSB(rs1) -> carry, LSB(rs2) -> sign
    FF LSB(rs1) -> Fu/Euo, LSB(rs2) -> Fv/Evo
  • EQ EQual DESCRIPTION
  • Check whether two values are equal.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Event output Eo =
    et4
    0nnn F[nnn]
    1nnn Eo[nnn]
    Rpp++
    rs: source register
    et4: target event
  • Input Registers: Ri/Rd Output Registers:
  • Input Flags:
  • Output Flags:
  • Mode
    SEQ zero
    FF zero -> F/Eo
  • JMP SEQ JuMP Immediate DESCRIPTION
  • Jump to address defined by immediate constant. CodeBank is changed according to constant.
  • Action:
  • const[0 . . . 3]−>CodeBank
    const[4 . . . 15]−>Rpp
  • Input Registers:
  • Output Registers:
  • Input Flags:
  • Output Flags:
  • JRI SEQ Jump Relative Immediate Description
  • Jump relative to Rpp according to immediate signed constant. CodeBank is not influenced.
  • Action:
  • Rpp+const−>Rpp
  • Input Registers:
  • Output Registers:
  • Input Flags:
  • Output Flags:
  • JRR SEQ Jump Relative Register DESCRIPTION
  • Jump relative to Rpp according to signed content of register. CodeBank is not influenced.
  • Action:
  • Rpp+Rd[rbs]−>Rpp
  • Input Registers:
  • Output Registers:
  • Input Flags:
  • Output Flags:
  • LOAD
  • LOAD Data Register with Constant
  • DESCRIPTION
  • Loads internal data register or output register with an immediate constant
  • Action:
  • rt
    0nn const -> Rd[nn]
    1nn const -> Ro[nn]
    Rpp++
    rt: target register
  • Input Registers:
  • Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • MERGE FF MERGE Data Streams DESCRIPTION
  • Moves one of two inputs to output, depending on flag.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    E
    0 O = I1
    1 O = I2
    Rpp++
    rt: target register
    rs: source register
    es: source event
  • Input Registers: Ri/Rd, Ri/Rd Output Registers: Rd/Ro Input Flags: Ei/F Output Flags:
  • MOVE MOVE Internal Data Register DESCRIPTION
  • Moves content of a register bank register to another internal register.
  • Action:
  • Rd[rbs]−>rd[rbt]
  • Rpp++
      • rbs: register bank source
      • rbt: register bank target
    Input Registers: Rd Output Registers: Rd Input Flags:
  • Output Flags:
  • MOVEE MOVE Flag Register DESCRIPTION
  • Moves content of a flag register to another flag register.
  • Action:
  • F[fs]−>F[ft]
  • Rpp++
      • fs: flag source
      • fit: flag target
    Input Registers:
  • Output Registers:
  • Input Flags: F Output Flags: F MUL MULtiply DESCRIPTION
  • Multiply rs1 and rs2.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • MULU MULtiply Unsigned DESCRIPTION
  • Multiply unsigned rs1 and rs2.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rtp
    0n Rd[(n * 2)],
    Rd[(n * 2) + 1]
    1n Ro[(n * 2)],
    Ro[(n * 2) + 1]
    I1, I2 -> O
    Rpp++
    rs: source register
    rtp: target register pair
  • Input Registers: Ri/Rd Output Registers:
  • Input Flags:
  • Output Flags: NOP No Operation DESCRIPTION
  • No Operation, Rpp is incremented
  • Action: Rpp++ Input Registers:
  • Output Registers:
  • Input Flags:
  • Output Flags:
  • NOT Logical Inverse DESCRIPTION
  • Inverts register logically
  • Action:
  • Input I =
    rs
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    I -> O
    Rpp++
    rs: source register
    rt: target register
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • Mode
    SEQ zero
    FF F/Eo
  • OR Logical OR DESCRIPTION
  • Logical OR operation
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rt: target register
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • Mode
    SEQ zero, sign, parity
    FF zero -> F/Eo
  • READ READ Data Input Register DESCRIPTION
  • Read specified data input register and write to internal register bank or output register. READ waits until data is available at the input register.
  • rt
    0nn Ri[ri] -> Rd[nn]
    1nn Ri[ri] -> Ro[nn]
    Rpp++
    rt: target register
    ri: input register
  • Input Registers: Ri Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • READE READ Event Input Register DESCRIPTION
  • Read specified event input register and write to internal flag bank or event output register. READE waits until event is available at the register.
  • Action:
  • et4
    0nnn Ei[ei] -> F[nnn]
    1nnn Ei[ei] -> Eo[nnn]
    Rpp++
    et4: target event
    ei: input event
  • Input Registers:
  • Output Registers:
  • Input Flags: Ei Output Flags: F/Eo SAT SATurate DESCRIPTION
  • Saturates register depending on carry (Fu0) flag and saturation mode.
  • Action:
  • Input I =
    rs
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event E =
    Mode es4
    SEQ don't care carry
    FF 0nnn F[nnn]
    FF 1nnn Ei[nnn]
    E as
    0 don't care I -> O
    1 0 0h -> O
    1 1 ffffh -> O
    Rpp++
    rs: source register
    rt: target register
    as: add/substract mode
    es4: event source
  • Input Registers: Rd Output Registers: Rd/Ro
  • es4Input Flags:
    SEQ-Mode: carry
  • FF-Mode: Ei/F Output Flags:
  • SETF
  • SET Flag with Constant
  • DESCRIPTION
  • Loads flag register or output event with an immediate constant
  • Action:
  • et4
    0nnn const -> F[nnn]
    1nnn const -> Eo[nnn]
    Rpp++
    et4: event target
  • Input Registers:
  • Output Registers:
  • Input Flags:
  • Output Flags: F/Eo SHL SHift Left DESCRIPTION
  • Shift rs1 left. LSB is filled with event.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Event Input E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    et4
    0nnn F[nnn]
    1nnn Eo[nnn]
    I1 -> O
    Rpp++
    rs: source register
    rt: target register pair
    et4: target event pair
    es4: source event register
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ MSB(rs1) -> carry
    FF MSB(rs1) -> Fu/Euo
  • SHR SHift Right DESCRIPTION
  • Shift rs1 right. MSB is filled with event.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Event Input E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    et4
    0nnn F[nnn]
    1nnn Eo[nnn]
    I1 -> O
    Rpp++
    rs: source register
    rt: target register pair
    et4: target event pair
    es4: source event register
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ LSB(rs1) -> carry
    FF LSB(rs1) -> Fu/Euo
  • SKIPE SKIP Next Two Commands Depending on Event DESRIPTION
  • Next two commands are skipped based on event or flag. If an event is selected as source the execution stops until the event is available.
  • Action:
  • val value
    0 0
    1 1
    Event E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    Skip next two addresses if event or flag is equal to val:
    event/flag
    not equal val Rpp++
    equal val Rpp + 3 -> Rpp
    val: value
    es4: event source
  • Input Registers:
  • Output Registers:
  • Input Flags: Ei/F Output Flags:
  • SORT FF SORT Data Stream DESCRIPTION
  • Sort two inputs, depending on value.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O1 =
    rt1
    0nn Rd[nn]
    1nn Ro[nn]
    Output O2 =
    rt2
    0nn Rd[nn]
    1nn Ro[nn]
    Event E1 =
    et41
    0nnn F[nnn]
    1nnn Eo[nnn]
    Event E2 =
    et42
    0nnn F[nnn]
    1nnn Eo[nnn]
    O1 = smaller value of I1 and I2
    O2 = larger value of I1 and I2
    E1 = 1 if I1 < I2 else 0
    E2 = 1 if I1 <= I2 else 0
    Rpp++
    rt: target register
    rs: source register
    et4: target event
  • Input Registers: Ri/Rd, Ri/Rd Output Registers: Rd/Ro, Rd/Ro Input Flags:
  • Output Flags: Ei/F SORTU FF SORT Data Stream Unsigned DESCRIPTION
  • Sort two unsigned inputs, depending on value.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O1 =
    rt1
    0nn Rd[nn]
    1nn Ro[nn]
    Output O2 =
    rt2
    0nn Rd[nn]
    1nn Ro[nn]
    Event E1 =
    et41
    0nnn F[nnn]
    1nnn Eo[nnn]
    Event E2 =
    et42
    0nnn F[nnn]
    1nnn Eo[nnn]
    O1 = smaller value of I1 and I2
    O2 = larger value of I1 and I2
    E1 = 1 if I1 < I2 else 0
    E2 = 1 if I1 <= I2 else 0
    Rpp++
    rt: target register
    rs: source register
    et4: target event
  • Input Registers: Ri/Rd, Ri/Rd Output Registers: Rd/Ro, Rd/Ro Input Flags:
  • Output Flags: Ei/F SUB SUBtract DESCRIPTION
  • Subtract rs2 from rs1.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    et4
    0nnn F[nnn],
    F[nnn]
    1nnn Eo[nnn],
    Eo[nnn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rt: target register
    et4: target event
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ carry, sign, null, parity
    FF carry -> Fu/Euo
  • ADDC
  • ADD with Carry
  • DESCRIPTION
  • Subtract rs2 from rs1 with Carry.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Event Input E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    Event output Eo =
    etp
    0nn Fu[nn],
    Fv[nn]
    1nn Euo[nn],
    Evo[nn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rt: target register
    es4: source event
    etp: target event pair
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags: F, Ei Output Flags:
  • Mode
    SEQ carry, sign, null, parity, overflow
    FF carry -> Fu/Euo, overflow -> Fv/Evo
  • SWAP FF SWAP Data Stream DESCRIPTION
  • Swap two inputs, depending on flag.
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O1 =
    rt1
    0nn Rd[nn]
    1nn Ro[nn]
    Output O2 =
    rt2
    0nn Rd[nn]
    1nn Ro[nn]
    Event E =
    es4
    0nnn F[nnn]
    1nnn Ei[nnn]
    E
    0 O1 = I1, O2 = I2
    1 O1 = I2, O2 = I1
    Rpp++
    rt: target register
    rs: source register
    es4: source event
  • Input Registers: Ri/Rd, Ri/Rd Output Registers: Rd/Ro, Rd/Ro Input Flags: Ei/F Output Flags:
  • UPDATE FF UPDATE Parameters DESCRIPTION
  • Updates registers Rd3, Rd2, Rd1 with value from R13 if Ei7 is set. Moves subsequent data packet on Ri3 to Ro3 and sets Eo7.
  • Action:
  • Mode
    1 Ri3 -> Rd3 set Eo7
    Ri3 -> Ro3
    2 Ri3 -> Rd3 Ri2 -> Rd2 set Eo7
    Ri3 -> Ro3
    3 Ri3 -> Rd3 Ri2 -> Rd2 Ri1 -> Rd1 set Eo7
    Ri3 -> Ro3
    Rpp++
    mode: update mode
  • Input Registers: Ri3 Output Registers: Rd3, Rd2, Rd1 Input Flags: Ei7 Output Flags: Eo7 WAITE WAIT for Incoming Event DESCRIPTION
  • Stop execution and wait for incoming event of defined value. Acknowledge incoming events.
  • Action:
  • valx value
    00 0
    01 1
    1x don't care
    Event E =
    es3
    nnn Ei[nnn]
    Wait for incoming event of defined value. Acknowledge all incoming events.
    valx: value
    es3: event source
    Rpp++
  • Input Registers:
  • Output Registers:
  • Input Flags: Ei Output Flags:
  • WRITE WRITE Output Register DESCRIPTION
  • Write data from input register bank to output register. Wait for incoming ACK either before or after writing.
  • <sync0>
    rs
    0nn Ri[nn] -> Ro[ro]
    1nn Rd[nn] -> Ro[ro]
    <sync1>
    Rpp++
    ro: output register
    rs: register source
    Synchronisation is handled according to sy:
    sy = 0 <sync0> Wait only if previously sent event has
    not been granted by ACK yet
    sy = 1 <sync1> Wait until actual event is granted by ACK
  • Input Registers: Ri/Rd Output Registers: Ro Input Flags:
  • Output Flags:
  • WRITEE WRITE Event Output Register DESCIPTION
  • Write event from input register or flag to event output register. Wait for incoming ACK either before or after writing.
  • Action:
  • <sync0>
    es4
    0nnn Ei[nnn] -> Eo[eo]
    1nnn F[nnn] -> Eo[eo]
    <sync1>
    Rpp++
    eo: output event
    es4: event source
    Synchronisation is handled according to sy:
    sy = 0 <sync0> Wait only if previously sent event has not been
    granted by ACK yet
    sy = 1 <sync1> Wait until actual event is granted by ACK
  • Input Registers:
  • Output Registers:
  • Input Flags: Ei/F Output Flags: Eo XOR Logical XOR DESCRIPTION
  • Logical XOR operation
  • Action:
  • Input I1 =
    rs1
    0nn Rd[nn]
    1nn Ri[nn]
    Input I2 =
    rs2
    0nn Rd[nn]
    1nn Ri[nn]
    Output O =
    rt
    0nn Rd[nn]
    1nn Ro[nn]
    I1, I2 -> O
    Rpp++
    rs: source register
    rt: target register
  • Input Registers: Ri/Rd Output Registers: Rd/Ro Input Flags:
  • Output Flags:
  • Mode
    SEQ zero, sign, parity
    FF zero -> F/Eo
  • In the following, an example for the use of function folding is given:
  • Function Folding and Fast Parameter Update Example FIR Ri0=x Ri1=y
  • 3-folded FIR using acc
    Fast parameter update for registers Rd1, Rd2, Rd3
  • Example 1 UPM3, Updates Parameters with Each Access to Rd3, 2, 1 (if Ei7 is set)
  • upmcfg=1100
    # stage 1
      • mul acc, Ri0, Rd3;
      • add Rd0, acc, Ri1;
        # stage 2
      • mul acc, Ri0, Rd2;
      • add Rd0, acc, Rd0;
        # stage 3
      • mul acc, Ri0, Rd1;
      • add Ro1, acc, Rd3;
      • write Ro0, Ri0;
        Alternative using MAC opcode, parameter pop and looping
      • read Rd0, Ri1;
        1 h, 1 t[3]: mac Rd0, Ri0, pop;
      • write Ro1, Rd0;
      • write Ro0, Ri0;
    Example 2 UPM3, Uses Command UPDATE for Parameter Update
  • upmcfg=1110
    # stage 1
      • mul acc, Ri0, Rd3;
      • add Rd0, acc, Ri1;
        # stage 2
      • mul acc, Ri0, Rd2;
      • add Rd0, acc, Rd0;
        # stage 3
      • mul acc, Ri0, Rd1;
      • add Ro1, acc, Rd3;
      • write Ro0, Ri0;
      • update 3
    Example 3 UPM3, Updates Parameters at Rpp==0
  • upmcfg=1101
    # stage 1
      • mul acc, Ri0, Rd3;
      • add Rd0, acc, Ri1;
        # stage 2
      • mul acc, Ri0, Rd2;
      • add Rd0, acc, Rd0;
        # stage 3
      • mul acc, Ri0, Rd1;
      • add Ro1, acc, Rd3;
      • write Ro0, Ri0;
  • In the above, an improved data processor array has been described. Although only in some instances, it has been pointed out that reference to a certain number of registers, bit width etc. is for explanation only, it is to be understood that this also holds where such reference is not found.
  • If the array is to be very large or in case a real time process is run where two different fragments of an array unknown at compile time have to communicate with each other so as to enable data processing, it is advantageous to improve the performance by ensuring that a communication path can be set up. Several suggestions have been made already, e.g. Lee-Routing and/or the method described in PACT 7. It is to be understood that the following part of an improved array design might result in an improved circuitry for certain applications but that it is not deemed absolutely and inevitably necessary to implement it with e.g. a function fold PAE. Rather, the other suggestions for improvement will result in significant improvements on their own as will be understood by the average skilled person.
  • Routing Improvement
  • The suggested improvement described hereinafter concerns the static routing network for reconfigurable array architectures. Hereby this static network is enhanced by implementing additional logic to adaptive runtime routing.
  • FIG. 1 depicts a cut-out of a reconfigurable array with a set of functional units (FU). Each functional unit encloses one routing unit (RU) and additional functional modules (FMs). The enclosed functional modules are used to manipulate data and characterize the type of the FU. The RU contains an interconnect matrix which is able to route each input port to any desirable output ports. All FUs are connected through point-to-point links whereas each is composed of two half-duplex links and able to transport the data in both directions at the same time.
  • The routing technique described in this document is instruction based which means that each routing process must be started by an instruction. If the user wants to establish a routing between two cells, he has to bring a specific instruction into the source cell. The hardware within the array calculates based on the instruction fields values the desired routing direction and establishes the logic stream. The routing process happens stepwise from one functional unit to another whereby each cell decides which direction should be taken next. On the way to an established route we defined three valuable states of the routing resources. The first state is the physical route or link. This means that the resources of this route are not used and available to routing processes. The second state is named temporal route or link. This state describes the temporarily not available link, which means that this link is in use for routing purposes but the mentioned routing is not confirmed yet. The problem here is that this route can be confirmed in the future or released if the successor cells are able to realise the desired routing. The last state is the logical route or link. This state represents an established route on the array which is able to transport calculation data.
  • This routing technique uses coordinates on the array to calculation routings. Each FU possesses unique coordinate's und on the basis of this information it is able to determine the routing direction to each desired cell Within the array. This concept is the basis for the adaptive runtime routing described in this document. The needed control logic for adaptive routing is implemented within the routing unit, especially within the routing controller which controls the interconnect matrix at runtime. Therefore the routing controller is able to analyze the incoming data of all input ports of the concerned FU and come to a decision what to do next.
  • Routing Establishment
  • For the purpose of incoming data analyzing and data buffering each input port owns so called in-registers (InReg). Additional to those standard registers there are InReg-controllers implemented (InRegCtrl). Those finite state machines (FSMs) have the job to store the actual state of the input links and in dependency of the actual state to trigger routing requests or release not required routings. To fulfill its job each InRegCtrl is connected to an in-controller (InCtrl) which is implemented exactly once per FU. Important requirement for requesting of new routings is that the mentioned input resource (InReg, InRegCtrl) are not used and so in the state of physical link.
  • InCtrl gets requests of all InRegCtrls all over the time and forwards one request after another to the routing controller (RoutCtrl). The selection which InRegCtrl should be served first is dependant on the routing priority of the input link and/or which input link was served last. Based on the coordinate information of the target cell and the coordinates of the actual FU the RoutCtrl calculates the forward direction for the requested input link. Thereby the RoutCtrl takes into account additional parameters like optimum bit (will be described later), the network utilisation towards the desired direction, etc.
  • If the direction calculation within the RoutCtrl was successful the RoutCtrl forwards the request with additional information about the output port to the interconnect matrix, which connects the input port with calculated output port. If this is done the RoutCtrl signals the successful routing operation to InCtrl. Because the actual reached routing state is not final it is necessary to store the actual state. This happens within the queue-request-registerfile (QueueRRF). Therefore the InCtrl is directly connected to the QueueRRF and is able to store the desired information. At this point the related input and output links reach the temporal link state and are temporarily not available for other routing processes.
  • Due the fact that the QueueRRF is able to store more than one routing entry, the InCtrl is able to hold multiple routing processes at the same time. But for the purpose of high hardware area consumption the direction calculation is realized once within the RoutCtrl.
  • The established temporal routing stays stored within the QueueRRF till the point the successor cell acknowledges the routing. In this case the InCtrl clear the according entry in the QueueRRF and signals the successful routing to the InCtrl. The InRegCtrl changes into the state logical route and signal the predecessor cell the successfully finished routing process.
  • The other case can happen if the successor cell is not able to establish the desired route. In this case the InCtrl forwards a new request to the RoutCtrl based on the QueueRRF-entry. This request leads to new routing suggestion which will be stored within the QueueRRF.
  • If all available and expedient directions are checked and routing trials failed the InCtrl signals to InRegCtrl the failed routing. The InCtrl signals the same routing miss to the predecessor cell and finishes the routing process in the current cell.
  • Within the routing process there are two exceptions how the routing unit establishes a desired routing. Those exceptions affect the source and the target cell. The exception in both cases is that as well the source cell as the target cell do not need to route the started/ending routing through the interconnect matrix. To connect the FMs to the output links of cells simple multiplexers are used. Those multiplexers are implemented after the interconnect matrix and have to be switched explicitly. This happens after the routing process is finished. The exception lies in the finishing state. Here the InRegCtrl doesn't have to acknowledge the successful routing the predecessor it just has to consume the actual routing instruction in the InReg instead. This happens after the InCtrl signals the successful routing. Additionally the InReg switches the output multiplexer associated to the output port of the FM and finishes the routing establishment. The information needed the switch the right output multiplexer gets the InCtrl from the RoutCtrl.
  • Otherwise if the routing fails the InCtrl asserts cell specific interrupt line and signals the failure to the system.
  • The second exception concerns the target routing cell. Here it is important to connect the new route with the input ports of the local FM. Therefore simple multiplexers are used which are implemented before the interconnect matrix. If an ongoing routing process reaches the target cell the InCtrl identifies the target achievement and switches the associated input multiplexer to forward the incoming data to the input port of the FM. This is the point where, the successful route establishment signal is generated by the InRegCtrl after InCtrl signals the success. Here the InRegctrl has the last job to finish the routing process by deleting the routing instruction and going to logical state.
  • Releasing Established Routing
  • For releasing of the logically established routings we introduced special instructions, so called end packets. The only purpose of those instructions is the route-dissolving by inject the necessary end packet into the logic established routing. There are two ways how the routings can be released. The first possibility is the global releasing. This means that all routes which are following the route where the end packet is injected will be released. This function is useful to delete whole configurations with one single instruction. For this purpose it is important that the FMs are able to forward the end packet unaltered through the internal datapaths.
  • The second way for route releasing is the local route releasing. Here it is possible to release single established routes between output and input ports of FMs. The end packets are not propagated through the FMs. In this case the end packet will be consumed by the last InRegCtrl.
  • The internal RU communication is similar to the routing process. If the InRegCtrl determines incoming end packet and the InRegctrl is in the logic route state, the InRegCtrl forwards the route release request to the InCtrl. The InCtrl clears the entries either within the interconnect matrix or within the input multiplexers registers or within the output multiplexer registers. Meanwhile the InRegCtrl consumes (in case of the local end packet and last cell in the chain) the instruction and goes to the idle state. If the end packet was a global instruction the InRegCtrl forwards always the end packet to the successor.
  • Additional Features
  • For the purpose of priority control, we introduced a priority system to influence the order in which the RU serves the incoming routing requests. Therefore the instructions contain priority fields which describe the priority level. Higher values in this field result in higher priority und will be preferred by the RU during the runtime-routing. The priority field has direct influence on the selection of the incoming routing requests from the InRegCtrls to InCtrl.
  • Some inner configuration communication streams require strictly defined latency to reach the desired performance. Therefore it is very important to keep the maximum register chain length. To decrease the latency of the routed streams its is necessary to ensure that the array chose always the best routing between source and target, but this requirement may lead to not routable streams if this feature will be always required. To ease this problem we introduced a special bit within the routing instruction, so called optimum bit (OptBit). This bit has to be activated if the optimum routing is definitely required. In this case the array tries to reach this requirement und delivers an interrupt if fails.
  • The alternative to reach the required latency is the speed path counter. This counter gives the possibility to bypass a specific number of registers before buffering again. Therefore we defined a reference value and the counter value. Both numbers are stored within the instruction field. Each passed cell respective the RU compares the counter value and the reference value. If both values are equal then the actual cell buffers the stream and resets the counter. If the counter is smaller than the reference value the current buffer will be bypassed and the counter incremented by one. In this way it is possible to bypass a number of buffers which equals exactly to reference value.
  • Multi-Grained Communication Links
  • In addition to the coarse-grained point-to-point links we introduced more flexible multi-grained point-to-point links. Hereby one single point-to-point link connects two neighbor cells respective the RUs within those cells. One coarse-grained link consists of a set of wires, e.g. 32 wires for one 32 link, and additionally protocol signals. The whole vector is handled by a single set of control signals which makes this communication resource not usable for multi-grained communication.
  • To reach this requirement we divided the whole 32 bit vector into single strips, e.g. with groups of 8 times 1 bit strips and 3 times 8 bit strips. Each strip obtained separate control signals and is able to operate independently from other strips.
  • The idea behind this division is to combine those strips to logical multi-grained sub-links. If you have one multi-grained link you can use the whole vector as one interrelated 32 bit vector or split the whole vector into sub-channels. In this configuration each strip can be one single sub-channel or a group of strips can be gathered to a single sub-channel of desired bit-width. You just have—in respect of hardware costs—to consider that one sub-channel has to fit into one multi-grained link.
  • Multi-Grained Routing
  • In order to route multi-grained channels it's necessary to use the coarse grained links to support the routing process. The idea is to route two links in parallel, one coarse-grained link to support multi-grained routing and one multi-grained link, which will contain the final multi-grained stream. Therefore we defined a two packet routing instruction with needed data fields. The first instruction packet contains—compared to coarse-grained routing instruction—additional bit mask to specify used multi-grained sub-links and multi-grained link ID to identify the associated multi-grained link. The other features like described above—optimum bit, speed path, priority routing—are support in this routing mode as well. The routing process within the RU is performed similar to the coarse-grained routing.
  • The first packet which arrives in a cell is analyzed by the InRegCtrl and a request is generated and forwarded to the InCtrl. InCtrl forwards the request to the RoutCtrl and wait for the acknowledgement. If RoutCtrl finds one possible routing direction, the InCtrl gets the successful acknowledgement and the temporal routing will be established by the RoutCtrl. Next, the actual job will be stored within the QueueRRP and the InCtrl waits for the acknowledgement from the successor cell. If RoutCtrl is not able to find a possible routing, the InCtrl gets negative acknowledgement and which will be forwarded to the associated InRegCtrl, which generates the route unable signal to the predecessor cell and quits the routing process within this cell.
  • If the successor cell signals successful routing, the InRegCtrl clears the related entry in the QueueRRP and finishes the routing. If the successor cell is not able to establish a rout to the destination cell, it generates negative acknowledgement signal. Hereupon, the InCtlr starts new request to the RoutCtrl and handle the responses as described above.
  • The difference between the coarse-grained routing and multi-grained routing lies in the handling of the multi-grained interconnect matrix. Each strip of a multi-grained link is handled separately. The RoutCtrl forwards the switch request to the strip matcher. Strip matcher has the job to analyze the input strips and to match them to the output link according to already used strips. What strip matcher is doing is to map the problem of strip matching into the time domain and switches the needed switchboxes for each strip separately one after another.
  • Routing packet for coarse-grained streams:
  • 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 09 08 07 06 05 04 03 02 01 00
    Value Comments
    32 1 instruction-packet
    31 30 00 ID: Routing-packet for coarse-grained streams
    29 28 XX Priority-level: higher value results in higher priority
    27 26 XX Speed path: Reference value
    25 24 XX Speed path: Counter
    23 X Optimum bit (OptBit): 1 enabled; 0 disabled
    22 . . . 19 XXXX FM output address within the source cell
    18 . . . 15 XXXX FM input address within the destination cell
    14 X Use fine-grained links: 1 = yes, 0 = no
    13 . . . 8 Reserved
    7 . . . 4 X . . . X Destination cell coordinates: x-coordinate
    3 . . . 0 X . . . X Destination cell coordinates: y-coordinate

    Routing Instruction for multi-grained streams (first packet):
  • 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 09 08 07 06 05 04 03 02 01 00
    Value Comments
    32 1 Instructions-packet
    31 30 01 ID: Routing-instruction multi-grained streams (first packet)
    29 28 XX Priority-level: higher value results in higher priority
    27 26 XX Speed path: Reference value
    25 24 XX Speed path: Counter
    23 X Optimum bit (OptBit): 1 enabled; 0 disabled
    22 Reserved
    21 . . . 19 XXX ID of the input stream of the multi-grained link
    18 . . . 16 XXX 8 bit strips mask: 1 = selected; 0 = not selected
    15 . . . 8 X . . . X 1 bit strips mask: 1 = selected; 0 = not selected
    7 . . . 4 XXXX Destination cell coordinates: x-coordinate
    3 0 XXXX Destination cell coordinates: y-coordinate

    Second packet of the routing instruction for multi-grained streams:
  • 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 09 08 07 06 05 04 03 02 01 00
    Value Comments
    32 1 Instructions-packet
    31 . . . 30 10 ID: Routing-instruction multi-grained streams (first packet)
    29 28 X Reserved
    27 . . . 25 XXX Destination cell 8 bit strips mask: 1 = selected; 0 = not selected
    24 . . . 17 X . . . X Destination cell 1 bit strips mask: 1 = selected; 0 = not selected
    16 . . . 14 XXX Multi-grained FM input port address of the destination cell
    13 . . . 11 XXX Source cell 8 bit strips mask: 1 = selected; 0 = not selected
    10 . . . 3 X . . . X Source cell 1 bit strips mask: 1 = selected; 0 = not selected
    2 . . . 0 XXX Multi-grained FM output port address of the source cell

    End packet instruction:
  • 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 09 08 07 06 05 04 03 02 01 00
    Value Comments
    32 1 Instruktions-Paket
    31 . . . 30 11 ID: End packet for logical stream releasing
    29 X Coarse-/fine-grained releasing: 1 coarse-grained, 0 fine-grained
    28 X Local/global route release process: 1 = local, 0 = global
    27 . . . 23 — . . . — Reserved
    22 . . . 19 XXXX FM output address within the source cell
    18 . . . 14 — . . . — Reserved
    13 . . . 11 XXX Source cell 8 bit strips mask: 1 = selected; 0 = not selected
    10 . . . 3 X . . . X Source cell 1 bit strips mask: 1 = selected; 0 = not selected
    2 . . . 0 XXX Multi-grained FM output port address of the source cell

    Data packet:
  • 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 09 08 07 06 05 04 03 02 01 00
    Value Comments
    32 0 Data packet
    31 . . . 0 X . . . X Application data
  • FIGS. 36 to 44 relate to an improved way of routing.
  • Using Function Folding to Improve Silicon Efficiency of Reconfigurable Arithmetic Arrays
  • This section presents Function Folding, a design principle to improve the silicon efficiency of reconfigurable arithmetic (coarse-grain) arrays. Though highly parallel implementations of DSP algorithms have been demonstrated on these arrays, the overall silicon efficiency of current devices is limited by both the large numbers of ALUs required in the array and by the only moderate speeds which are achieved. The operating frequencies are mainly limited by the requirements of non-local routing connections. We present a novel approach to overcome these limitations; In Function Folding, a small number of distinct operators belonging to the same configuration are folded onto the same ALU, i.e. executed sequentially on one processing element. The ALU is controlled by a program repetitively executing the same instruction sequence. Data only required locally is stored in a local register file. This sequential approach uses the individual ALU resources more efficiently, while all processing elements of the array work in parallel as in current devices. Additionally, the ALUs and local registers can be clocked with a higher frequency than the (non-local) routing connections. Overall, a higher computational density than in current devices results.
  • 1 INTRODUCTION
  • Field-Programmable Gate Arrays (FPGAs) are used as a flexible, programmable alternative to Application Specific Integrated Circuits (ASICs) for bit-oriented applications. They combine low NRE costs with fast time-to-market. See R. Hartenstein, “A Decade of Reconfigurable Computing: A Visionary Retrospective,” In Proc. Design, Automation and Test in Europe, 2001 (“Hartenstein”). Similarly, reconfigurable arithmetic arrays—based on coarse-grain ALUs rather than bit-level lookup tables—are such an alternative for word-level, arithmetic applications. There are several research projects (e.g., Rapid (see D. C. Cronquist et al., “Architecture design of reconfigurable pipelined datapaths,” In Pros. 20th Anniversary Conference on Advanced Research in VLSI, Atlanta, Ga., March 1999), KressArray (see Hartenstein and see R. Hartenstein et al., “A New FPGA architecture for word-oriented datapaths, In Proc. Field-Programmable Logic, 4th International Workshop, Springer-Verlag, September 1994 (“Hartenstein et al.”))) as well as commercial developments (e.g., PACT XPP Technologies (see V. Baumgarte et al., “PACT XPP—A Self-Reconfigurable Data Processing Architecture,” The Journal of Supercomputing, 26(2), September 2003 (“Baumgarte et al.”), Morphotech (see M. H. Lee et al., “Design and Implementation of the MorphoSys Reconfigurable Computing Processor,” Journal of VLSI and Signal Processing Systems for Signal, Image and Video Technology, March 2000 (“Lee et al.”)), Elixent (see T. Stansfield, “Using Muliplexers for Control and Data in D-Fabrix, In Field Programmable Logic and Applications, LNCS 2778, pp. 416-425, Springer, 2003)) in this area. However, these architectures have not seen widespread use yet though highly parallel implementations of DSP algorithms have been demonstrated on them. One apparent reason for this is the limited silicon efficiency of current devices, resulting in both a large number of ALUs required in the array and in only moderate speeds being achieved. The operating frequencies are mainly limited by the requirements of non-local routing connections.
  • We present an extension of PACT XPP Technologies' eXtreme Processing Platform (XPP) (see Baumgarte et al.) which overcomes these limitations: Rather than executing a fixed operation on an ALU for the entire duration of a configuration, a small number of distinct operators belonging to the same configuration are folded onto the same ALU, i.e. executed sequentially on the same processing element (PE). The ALU is controlled by a program repetitively executing the same instruction sequence. Data only required locally is stored in a local register file. This sequential approach uses the individual ALU resources more efficiently, while all processing elements of the array work in parallel as in current devices. Since external data transfers are not required in every PE clock cycle, the ALUs and local registers can be clocked with a higher frequency than the (non-local) routing connections. This ALU overclocking technique is also justified by the continuous trend to higher integration densities: New technology generations provide smaller and smaller transistors, but the wires have higher relative capacities which make the busses slower and more power-consuming.
  • Despite these significant architectural changes, existing XPP programs can be automatically mapped to this extended architecture. Overall, a higher computational density than in current devices results.
  • The remainder of this section is organized as follows:
  • First, we describe the current PACT XPP architecture. Next, Section 3 describes the functionality and hardware design of the new Function Folding PE, Section 4 elaborates the application mapping methods, and Section 5 presents preliminary results. Finally, our approach is compared to related work, conclusions are drawn, and future work is outlined.
  • 2 XPP Architecture Overview
  • The current XPP architecture (see Baumgarte et al.) is based on a 2-D array of coarse-grain, adaptive processing elements (PEs), internal memories, and interconnection resources. A 24-bit prototype chip with 64 ALUs and 16 internal memories was built by PACT XPP Technologies. A development board for this XPP64A chip is available.
  • PACT also provides a complete development tool suite consisting of a placer and router, a simulator, and a visualizer. The tools use the proprietary Native Mapping Language (NML), a structural language with reconfiguration primitives. A C frontend is provided as well.
  • 2.1 Array Structure
  • FIG. 45 shows the basic structure of a simple XPP core. For demonstration purposes, it contains only 9 PEs 4502 and 6 internal RAMs 4504. The core comprises a 3×3 square of PEs in the center and one column of independent internal memories on each side. There are two I/O units 4506 which can either be configured as ports for streaming data or as interfaces for external RAM access. The core of a PE is an ALU which performs common arithmetic and logical operations, comparisons, and special operations such as counters. In each configuration, each PE performs one dedicated operation. Each line in the figure represents a set of segmented busses which can be configured to connect the output of a PE with other PEs' inputs. The array is attached to a Configuration Manager (CM) 4508 responsible for the runtime management of configurations, i.e. for downloading configuration data from external memory into the configurable resources of the array. Besides a finite state machine 4510, the CM has cache memory 4512 for storing or pre-fetching configuration data.
  • 2.2 Data and Event Synchronization
  • The interconnection resources consist of two independent sets of busses: data busses (with a device specific bit-width) and one-bit wide event busses. The XPP busses are not just wires to connect logic: a ready/acknowledge protocol implemented in hardware synchronizes the data and events processed by the PEs. Hence a PE operation is performed as soon as all necessary input values are available and the previous result has been consumed. Thus it is possible to map a dataflow graph directly to the array, and to pipeline input data streams through it. No data is lost even during pipeline stalls. Special dataflow operations for stream merging, multiplexing etc. are provided as well.
  • 2.3 Configuration
  • Compared to FPGAs, XPP cores can be configured rapidly due to their coarse-grain nature: Only opcodes and connections have to be set. Furthermore, only those array objects actually used need to be configured.
  • The configuration time can be reduced by prefetching mechanisms: during the loading of a configuration onto the array another configuration can be loaded to the CM cache. Thus it must not be loaded from external memory when it is requested. The same is true if a configuration has been used before and its configuration data is still in the CM cache.
  • 3 Function Folding PE
  • We now describe the functionality and hardware design of an extended XPP PE, the Function Folding Processing Element.
  • 3.1 Function Folding Example
  • Let us first consider a simple example: An address adr is computed from a constant offset offs and coordinates x and y as follows: adr=offs+x+256*y. In an XPP implementation based on simple PEs as shown in FIG. 45, this computation is normally directly mapped to the dataflow graph in FIG. 47 a. Each adder and multiplier is mapped to its own ALU. Therefore a new address can be computed every cycle. However, as mentioned in Section 1, the operating frequency is limited by the bus connections, not by the ALU itself.
  • For a higher silicon efficiency, i.e. for more operations per square millimeter and second, the ALUs have to be clocked faster. This could be achieved by more pipeline registers in the busses. But they unfortunately increase the chip area and power consumption and reduce the throughput if the dataflow graph contains cycles. In our approach, we rather operate the busses at a moderate frequency (as in the current XPP cores) and increase the ALU's clock rate locally inside a PE. This n-fold overclocking allows to schedule n ALU operations in one bus cycle (for a small number n). We call these groups of operations clusters. The significant reduction in the number of PEs required justifies the hardware overhead incurred. While sticking to the successful paradigm of reconfigurable “computing in space”, this locally sequential approach optimizes the usage of the ALU resources.
  • By allowing different overclocking factors in the same device (e.g. n=2 and n=4), different local time-space tradeoffs are possible. For n=4, in our example, all operations in the dataflow graph can be clustered, i.e. executed on the same ALU, even if the multiplication requires two cycles. For n=2, only the two adders can be clustered. This results in twice the area, but also doubles the throughput compared to n=4.
  • Apart from a program controller executing the n instructions repetitively, a small internal register file to feed intermediate results back to the ALU inputs is required in the PE. This local feedback loop allows implementing dataflow graphs with cycles containing up to n operators without reducing the overall throughput.
  • 3.2 Hardware Design
  • The hardware design sketched in FIG. 46 performs Function Folding as described above. As the simple PEs in FIG. 45, the new PE communicates with the interconnect network via data and event input and output ports which follow the ready/acknowledge protocol. The ports also synchronize the fast internal PE clock with the n-times slower bus clock. Input data is stable during the entire bus clock cycle, i.e. can be sampled in any of the internal PE clock cycles. And output data is copied to the bus registers at the beginning of a bus cycle. A Function Folding PE requires more ports than a simple PE since it executes an entire cluster of operations. But it does not require n-times more ports than the simple PE since the number of external connections is often quite limited due to constant inputs (which can be loaded to internal registers at configuration time) and local connections within a cluster. A good clustering algorithm minimizes the number of external connections. As illustrated by the dotted box around the operators in FIG. 47 b, only two input ports and one output port are required for the example cluster for n=4.
  • In detail, the PE in FIG. 46 works as follows: A small program counter (PC) 4602 repeatedly iterates through the configured instructions in the instruction store 4604. In each PE cycle it selects the ALU opcode and controls the multiplexors selecting the ALU inputs. Either an input port or an entry of the internal register file 4606 can be used. The ALU outputs can be written back to the internal register file or to an output port or to both. The entire design is kept as simple and small as possible to just support function folding. No other control structures are possible. Both the number of input and output ports and the number of internal registers will be about n. Therefore we can choose a very fast implementation of the register file just using registers and multiplexors. Given the small number of ports and registers, the entire fetch/execute/store process can be performed in one cycle. The only exception is the multiplier operation which takes two cycles. The controller (FSM) 4608 stalls the program execution if an external input is not available or if an external output port is full due to a downstream pipeline stall. Note that event ports and registers are omitted in FIG. 46 for clarity. Events can be used and stored internally and externally like data.
  • Returning to FIG. 47 b, we can now present the simple PE program for the address generation cluster. The mapping of connections to ports and registers is indicated in the figure. We assume that registers r1 and r2 have been initialized with the constant values offs and 256, respectively, at configuration time. The following assembler code, executed repetitively, describes the cluster:
      • add r3<−r1, i1
      • mul r4<−r2, i2
      • add o1<−r3, r4
    4 Application Mapping
  • FIG. 48 shows the tool flow of the extended XPP architecture. It is very similar to the current tool flow implemented in the xmap program (see Baumgarte et al.). Only the phases represented by the shaded boxes are added. The following phases already exist in the current XPP tool flow:
      • Cfrontend (optional): Generates structural NML code (cf. Section 2) from a subset of standard C.
      • NML parser: Parses the input NML file and maps it to XPP operators.
      • Place and Route: Places the PEs (i.e. operators in the current architecture) on the XPP array and routes the connections.
      • Binary Generation: Generates an XBIN binary file.
  • For Function Folding, an additional Operator Clustering phase is required which defines the operators mapped to one PE. Though the clusters could be defined manually by annotations in the NML file, an automatic clustering algorithm is required to simplify programming, to use the C frontend, and to map existing NML code. It is described in the next section. Furthermore, PE program code needs to be generated for each cluster as described in Section 4.2. Obviously the Place and Route and Binary Generation phases have to be adapted, too.
  • 4.1 Operator Clustering
  • The operator clustering problem for Function Folding PEs is similar to the graph covering problems encountered in code generators for conventional processors and module mapping for FPGAs, e.g. T. J. Callahan et al., “Fast Module Mapping and Placement for Datapaths in FPGAs,” In Proc. FPGA '98, Monterrey, Calif., 1998 (“Callahan et al.”). Therefore we investigated these algorithms first. The efficient dynamic-programming algorithm used in Callahan et al. and similar approaches is actually a tree-covering algorithm. It generates optimal coverings for operator trees. But it cannot handle arbitrary dataflow graphs. Hence a preprocessing phase which removes feedback cycles and fanout edges from the original graph is required. The result is a forest of trees which can be covered efficiently. However, the optimal tree covering results are not optimal for the original dataflow graph.
  • Now consider the operator clustering problem at hand: We need to find a solution with the minimal number of clusters which conforms to the restrictions of the Function Folding PEs, i.e. the over-clocking factor n, and the number of ports and internal registers. Additionally, cycles should be processed within a cluster whenever possible (to avoid reduced throughput caused by external routing delays), and the number of external connections should be minimized. Unfortunately these quality criteria are not visible in the output of the tree covering preprocessing phase, i.e. after the removal of cycles and fanout edges. Hence we do not apply tree covering for operator clustering.
  • Instead, we developed an algorithm operating on the original graph. To reduce the complexity, we only consider clustering operators which are connected since only these clusters use internal registers and reduce the number of external ports. In an additional postprocessing phase, unconnected clusters can be merged later if they are placed next to each other.
  • In the first algorithm phase, all connected clusters are explicitly generated. Note that the number of possible unconnected clusters would be exponential in the number of operators.
  • In the second phase, the optimal combination of clusters covering the entire input graph has to be determined. Unfortunately the number of all possible combinations of clusters is exponential. Hence it cannot be searched exhaustively. Instead, the main loop of the algorithm operates on an increasing subset of the operators, generating and storing an optimal clustering of the subset, until the optimal clustering of the entire operator graph has been computed. The algorithm exploits the fact that partial optimal solutions are contained in the complete optimal solution. In this way we do not need to compute optimal clusterings for all subsets. Because the optimal clustering of a new subset depends on other subsets which might not have been computed before, some recursive calls which may lead to an exponential runtime are required. However, we found that the runtime is in the range of a few minutes for an overclocking factor n<=4 and for an operator number k<50. For larger problem sizes we tested the following heuristics:
      • Remove large feedback cycles (with more than n operators) from the graph. Then small cycles are still executed within a cluster and only a few possible clusters are excluded, but the number of recursive calls is largely reduced.
      • Do not compute the best solution in recursive calls, but only the first clustering of the subset which is computed. By applying larger clusters before smaller ones, the algorithm computes a nearly optimal solution anyway.
  • With these extensions we could quickly cluster realistic dataflow graphs with up to 150 operators. For the cases we tested, the heuristics produced clusterings which were very near or equal to the optimum (i.e. they had only a few more clusters).
  • Note that we restricted the number of operations in a PE program to n in the previous discussions. This is reasonable since we normally do not want to extend the PE program execution over more than one bus cycle. However, if a PE can be programmed to execute more than n operations, those operations which are not throughput-critical can be combined in larger clusters. This further reduces the required number of PEs without impacting the overall throughput.
  • 4.2 PE Code Generation
  • After operator clustering, the PE program code for every cluster is generated; cf. the assembler code in the example in Section 3. A simplified version of conventional register allocation is used to map internal connections to internal registers. The instructions can be directly extracted from the dataflow graph of the cluster.
  • 5 Results 5.1 PE Speed and Area
  • The area of a Function Folding PE is estimated to be about 15% to 25% larger than the area of the corresponding simple PE, depending on the number of ports and registers. For 16-bit datapaths, preliminary synthesis results achieve a PE frequency of 400-500 MHz for a 130 run silicon process.
  • 5.2 Complex FIR Application Analysis
  • This section demonstrates the implementation of a typical DSP algorithm, a FIR filter operating on complex numbers, on Function Folding PEs. Consider one FIR filter cell which computes the output Z=X*C+Y from a constant C and inputs X and Y. All values are complex, i.e. (Zre,Zim)=(Xre*Cre−Xim*Cim+Yre, Xre*Cim+Xim*Cre+Yim). FIG. 49 a shows the corresponding dataflow graph. It contains eight operators which can be folded to three clusters for an overclocking factor of n=4, as indicated by the dotted boxes C11, C12, C13. FIG. 49 b shows the resulting cluster dataflow graph. All clusters fully utilize the PEs, i.e. use all four PE clock cycle.
  • Let us now compare the silicon efficiency of an implementation on a current XPP device (FIRcurr) with one based on Function Folding PEs (FIRnew), As outlined above, we estimate FPE=400 MHz and Fbus=100 MHz for n=4. Fbus is also the operating frequency of the current architecture. Filters built from the given FIR cells can easily be fully pipelined for both implementations, as can be seen from FIGS. 49 a and b. Hence both implementations have the same performance: They generate outputs at a rate of 100 MHz.
  • The area of a Function Folding PE is estimated as AFFPE=1.2×AcurrPE, i.e. 20% larger than current PEs. The area ratio for the two filter implementations is as follows:
  • A FIRnew A FIRcurr = 3 × A FFPE 8 × A currPE = 3 × 1.2 × A currPE 8 × A currPE = 0.45
  • This rough estimation shows that the new implementation is more than twice as area-efficient as the old one without requiring more pipelining registers in the external busses. The overall silicon efficiency is more than doubled.
  • 5.3 Benchmark Mapping Results
  • In order to determine the general applicability of Function Folding, the algorithm described in Section, 4.1 was applied to a benchmark of 43 legacy XPP configurations from a wide range of application areas. We determined the average cluster utilization, i.e. the number of PE cycles being used by the repetitive PE program. This value is a good indication of the effectiveness of Function Folding. Note that a high cluster utilization does not guarantee that the PE program can be executed every bus cycle. The overall PE utilization in an application also depends on the availability of input data and on the overall throughput of all PEs.
  • Table 1 shows the results for n=2 and n=4 with varying port numbers. The number of internal registers was not yet restricted for this evaluation. The results for four input and output data and event ports (1.78 for n=2 and 3.05 for n=4) show that the Function Folding PE resources can be exploited efficiently for average XPP configurations. The table shows that using six data ports increases the cluster utilization only insignificantly. On the other hand, using fewer data ports distinctly decreases the utilization. We will combine hardware implementation results detailing the area requirements of the ports with the cluster utilization numbers to determine the PE parameters which yield the best overall silicon efficiency.
  • TABLE 1
    Average cluster utilization (CU) in XPP benchmark,
    n: overclocking factor; DI/DO: number of data input/output ports;
    EI/EO: number of event input/output ports.
    n DI DO EI EO CU
    2 6 6 4 4 1.79
    2 4 4 4 4 1.78
    2 2 2 4 4 1.57
    2 4 4 2 2 1.75
    2 2 2 2 2 1.53
    4 6 6 4 4 3.06
    4 4 4 4 4 3.05
    4 2 2 4 4 2.25
    4 4 4 2 2 2.80
    4 2 2 2 2 2.12
  • 6 Related Work
  • Though there are several projects on reconfigurable arithmetic arrays as mentioned in Section 1, to our knowledge there are no solutions similar to Function Folding in the literature. The following architectures differ considerably from our approach, but also allow to quickly change the operations performed by a PE.
  • The RAW microprocessor (see M. B. Taylor et al., “The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs,” IEEE Micro, March/April 2002) also contains a cluster of processing elements, but they are rather complex processors. Therefore their programs cannot be generated automatically as easily as the Function Folding PE programs. The RAW architecture resembles more a multiprocessor on a chip.
  • On the other hand, the MorphoSys architecture (see Lee et al.) follows a SIMD approach. All PEs in a row or column are controlled by a (global) program and execute the same instruction. This makes the PEs simpler, but the SIMD principle considerably restricts the available computations which can be executed. The array is also much harder to program.
  • Finally, multi-context devices provide two or more complete configuration contexts (see B. Salefski et al., “Re-configurable Computing in Wireless, In Proc. 38th Design Automation Conference, Las Vegas, Nev., June 2001). This technique is adapted from multi-context FPGAs. However, it does not allow frequent reconfigurations since the shadow configurations first have to be loaded completely. The configurations are completely independent. Multicontext devices hide the configuration latency to a certain extent, but do not overcome the general efficiency problems of coarse-grain reconfigurable architectures.
  • 7 Conclusions and Future Work
  • We have presented the architecture and functionality of the Function Folding Processing Element for an enhanced PACT XPP architecture. Preliminary analyses of both a hardware implementation and applications mapped to this architecture indicate that Function Folding significantly increases the silicon efficiency compared to current reconfigurable arithmetic arrays and has the potential to reduce the power consumption.
  • After the implementation of a Function Folding PE and the analysis of its parameters, future work will include the evaluation of paths which are not throughput-critical as mentioned at the end of Section 4.1. Integrated clustering and place-and-route algorithms will be explored. We also consider developing a direct compiler from C to Function Folding PEs which might exploit their capabilities better then the current design flow via NML.

Claims (9)

1. A configurable data processing device comprising:
an array of configurable cells; and
a global configurable network interconnecting the configurable cells for transferring data globally;
wherein:
each of at least some of the configurable cells is configurable in function and comprises a data processing unit that includes a multiplier, a shifter, at least two input registers and at least one output register; and
for each of a number of pairs of adjacent ones of the at least some of the configurable cells, in addition to the global configurable interconnection, a next neighbor connection is provided between the adjacent cells of the pair, allowing for a direct next neighbor data transfer between the adjacent cells of the pair.
2. The configurable data processing device according to claim 1, wherein the next neighbor connection is a direct connection from a cell output to a cell input of an adjacent cell without a connection to the global configurable network.
3. The configurable data processing device according to claim 2, wherein the adjacent cells connected via the next neighbor connection are two configurable cells placed one onto another.
4. The configurable data processing device according to any one of claims 1, 2, and 3, wherein the data processing device is adapted for chaining of registers of multiple cells in order to update constants or parameters of the cells in the chain by propagating data from one cell in the chain to a next cell in the chain.
5. The configurable data processing device according to claim 4, wherein Finite Impulse Response (FIR) filter constants are updated.
6. A configurable data processing device comprising:
an array of configurable cells; and
a global configurable network interconnecting the configurable cells for transferring data globally;
wherein:
each of at least some of the configurable cells is configurable in function and comprises a data processing unit that includes a multiplier, a shifter, at least two input registers and at least one output register;
for each of a number of pairs of adjacent ones of the at least some of the configurable cells, in addition to the global configurable interconnection, a next neighbor connection is provided between the adjacent cells of the pair, allowing for a direct next neighbor data transfer between the adjacent cells of the pair; and
multiple cells are chained together via multiple ones of the next neighbor connections for updating constants or parameters of the cells in the chain by propagating, during an operation, data from one cell in the chain to a next cell in the chain, fast constant value updates being thereby performed at runtime in the configurable data processing device.
7. The configurable data processing device according to claim 6, wherein the next neighbor connection is a direct connection from a cell output to a cell input of an adjacent cell without a connection to the global configurable network.
8. The configurable data processing device according to claim 7, wherein the adjacent cells connected via the next neighbor connection are two configurable cells placed one onto another.
9. The configurable data processing device according to any one of claims 6, 7, and 8, wherein Finite Impulse Response (FIR) filter constants are updated.
US12/389,274 2003-08-28 2009-02-19 Data processing device and method Active 2025-07-14 US8812820B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/389,274 US8812820B2 (en) 2003-08-28 2009-02-19 Data processing device and method
US14/462,858 US20140359255A1 (en) 2003-08-28 2014-08-19 Coarse-Grained Data Processor Having Both Global and Direct Interconnects

Applications Claiming Priority (45)

Application Number Priority Date Filing Date Title
EP03019428 2003-08-28
EP03019428.6 2003-08-28
EP03019428 2003-08-28
EP03025911.3 2003-11-05
EP03025911 2003-11-05
EP03025911 2003-11-05
DE10357284A DE10357284A1 (en) 2003-12-05 2003-12-05 Data processor comprises multidimensional array of coarse grained logic elements operating at clock rate greater than that of bus and/or communication line connecting logic elements mutually and with other elements
DE10357284 2003-12-05
DE10357284.8 2003-12-05
EP03028953.2 2003-12-17
EP03079015.8 2003-12-17
EP03079015 2003-12-17
EP03028953 2003-12-17
EP03079015 2003-12-17
EP03028953 2003-12-17
EP04002604 2004-02-05
EP04002604.9 2004-02-05
EP04002604 2004-02-05
EP04002719 2004-02-06
EP04002719 2004-02-06
EP04002719.5 2004-02-06
EP04003258 2004-02-13
EP04003258 2004-02-13
EP04003258.3 2004-02-13
EP04075654 2004-03-02
EP04004885.2 2004-03-02
EP04004885 2004-03-02
EP04004885 2004-03-02
EP04075654 2004-03-02
EP04075654.6 2004-03-02
EP04005403 2004-03-08
EP04005403.3 2004-03-08
EP04005403 2004-03-08
EP04013557 2004-06-09
EP04013557 2004-06-09
EP04013557.6 2004-06-09
EP04018267.7 2004-08-02
EP04077206 2004-08-02
EP04018267 2004-08-02
EP04077206 2004-08-02
EP04018267 2004-08-02
EP04077206.3 2004-08-02
PCT/EP2004/009640 WO2005045692A2 (en) 2003-08-28 2004-08-30 Data processing device and method
US57017306A 2006-11-10 2006-11-10
US12/389,274 US8812820B2 (en) 2003-08-28 2009-02-19 Data processing device and method

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
US10/570,173 Continuation US7844796B2 (en) 2001-03-05 2004-08-30 Data processing device and method
PCT/EP2004/009640 Continuation WO2005045692A2 (en) 2001-03-05 2004-08-30 Data processing device and method
US57017306A Continuation 2003-08-28 2006-11-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/462,858 Continuation US20140359255A1 (en) 2003-08-28 2014-08-19 Coarse-Grained Data Processor Having Both Global and Direct Interconnects

Publications (2)

Publication Number Publication Date
US20090172351A1 true US20090172351A1 (en) 2009-07-02
US8812820B2 US8812820B2 (en) 2014-08-19

Family

ID=34578045

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/389,274 Active 2025-07-14 US8812820B2 (en) 2003-08-28 2009-02-19 Data processing device and method
US12/791,322 Abandoned US20100241823A1 (en) 2003-08-28 2010-06-01 Data processing device and method
US14/462,858 Abandoned US20140359255A1 (en) 2003-08-28 2014-08-19 Coarse-Grained Data Processor Having Both Global and Direct Interconnects

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/791,322 Abandoned US20100241823A1 (en) 2003-08-28 2010-06-01 Data processing device and method
US14/462,858 Abandoned US20140359255A1 (en) 2003-08-28 2014-08-19 Coarse-Grained Data Processor Having Both Global and Direct Interconnects

Country Status (4)

Country Link
US (3) US8812820B2 (en)
EP (1) EP1676208A2 (en)
JP (1) JP4700611B2 (en)
WO (1) WO2005045692A2 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090058461A1 (en) * 2004-02-14 2009-03-05 Herman Schmit Configurable Circuits, IC's, and Systems
US20110029830A1 (en) * 2007-09-19 2011-02-03 Marc Miller integrated circuit (ic) with primary and secondary networks and device containing such an ic
US7948266B2 (en) * 2004-02-14 2011-05-24 Tabula, Inc. Non-sequentially configurable IC
US8112468B1 (en) 2007-03-22 2012-02-07 Tabula, Inc. Method and apparatus for performing an operation with a plurality of sub-operations in a configurable IC
US8138789B2 (en) 2007-09-06 2012-03-20 Tabula, Inc. Configuration context switcher with a clocked storage element
US8159264B2 (en) 2004-11-08 2012-04-17 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US8183882B2 (en) 2004-11-08 2012-05-22 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US8755484B2 (en) 2008-08-04 2014-06-17 Tabula, Inc. Trigger circuits and event counters for an IC
US8760193B2 (en) 2011-07-01 2014-06-24 Tabula, Inc. Configurable storage elements
US8788987B2 (en) 2010-06-23 2014-07-22 Tabula, Inc. Rescaling
US8935640B2 (en) 2007-06-27 2015-01-13 Tabula, Inc. Transport network
US9000801B1 (en) 2013-02-27 2015-04-07 Tabula, Inc. Implementation of related clocks
US9018978B2 (en) 2005-07-15 2015-04-28 Tabula, Inc. Runtime loading of configuration data in a configurable IC
US9148151B2 (en) 2011-07-13 2015-09-29 Altera Corporation Configurable storage elements
US9154137B2 (en) 2013-07-04 2015-10-06 Altera Corporation Non-intrusive monitoring and control of integrated circuits
US9203397B1 (en) 2011-12-16 2015-12-01 Altera Corporation Delaying start of user design execution
US9257986B2 (en) 2010-06-23 2016-02-09 Altera Corporation Rescaling
US9984037B1 (en) 2015-04-27 2018-05-29 Synaptic Engines, Llc Scheduler for a fine grained graph processor
US20200167158A1 (en) * 2018-11-28 2020-05-28 International Business Machines Corporation Compound instruction set architecture for a neural inference chip
US11392740B2 (en) * 2020-12-18 2022-07-19 SambaNova Systems, Inc. Dataflow function offload to reconfigurable processors
US11609798B2 (en) 2020-12-18 2023-03-21 SambaNova Systems, Inc. Runtime execution of configuration files on reconfigurable processors with varying configuration granularity
US11782760B2 (en) 2021-02-25 2023-10-10 SambaNova Systems, Inc. Time-multiplexed use of reconfigurable hardware
US11803507B2 (en) 2018-10-29 2023-10-31 Secturion Systems, Inc. Data stream protocol field decoding by a systolic array
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources
US11893424B2 (en) 2020-12-18 2024-02-06 SambaNova Systems, Inc. Training a neural network using a non-homogenous set of reconfigurable processors

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US7397275B2 (en) * 2006-06-21 2008-07-08 Element Cxi, Llc Element controller for a resilient integrated circuit architecture
JP5205843B2 (en) * 2007-07-18 2013-06-05 富士ゼロックス株式会社 Arithmetic processing device and arithmetic processing program
JP5294304B2 (en) * 2008-06-18 2013-09-18 日本電気株式会社 Reconfigurable electronic circuit device
WO2013100783A1 (en) 2011-12-29 2013-07-04 Intel Corporation Method and system for control signalling in a data path module
US10331583B2 (en) 2013-09-26 2019-06-25 Intel Corporation Executing distributed memory operations using processing elements connected by distributed channels
US8750365B1 (en) * 2013-11-27 2014-06-10 Redline Communications, Inc. System and method for multi-threaded OFDM channel equalizer with coprocessor
US9218443B1 (en) * 2014-11-12 2015-12-22 Xilinx, Inc. Heterogeneous multiprocessor program compilation targeting programmable integrated circuits
US9846660B2 (en) 2014-11-12 2017-12-19 Xilinx, Inc. Heterogeneous multiprocessor platform targeting programmable integrated circuits
US10402168B2 (en) 2016-10-01 2019-09-03 Intel Corporation Low energy consumption mantissa multiplication for floating point multiply-add operations
US10558575B2 (en) * 2016-12-30 2020-02-11 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10416999B2 (en) 2016-12-30 2019-09-17 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10474375B2 (en) 2016-12-30 2019-11-12 Intel Corporation Runtime address disambiguation in acceleration hardware
US10572376B2 (en) 2016-12-30 2020-02-25 Intel Corporation Memory ordering in acceleration hardware
US10469397B2 (en) 2017-07-01 2019-11-05 Intel Corporation Processors and methods with configurable network-based dataflow operator circuits
US10445451B2 (en) 2017-07-01 2019-10-15 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with performance, correctness, and power reduction features
US10445234B2 (en) 2017-07-01 2019-10-15 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with transactional and replay features
US10467183B2 (en) 2017-07-01 2019-11-05 Intel Corporation Processors and methods for pipelined runtime services in a spatial array
US10387319B2 (en) 2017-07-01 2019-08-20 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with memory system performance, power reduction, and atomics support features
US10515046B2 (en) 2017-07-01 2019-12-24 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10515049B1 (en) 2017-07-01 2019-12-24 Intel Corporation Memory circuits and methods for distributed memory hazard detection and error recovery
US11086816B2 (en) 2017-09-28 2021-08-10 Intel Corporation Processors, methods, and systems for debugging a configurable spatial accelerator
US10496574B2 (en) 2017-09-28 2019-12-03 Intel Corporation Processors, methods, and systems for a memory fence in a configurable spatial accelerator
US10380063B2 (en) 2017-09-30 2019-08-13 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator having a sequencer dataflow operator
US10445098B2 (en) 2017-09-30 2019-10-15 Intel Corporation Processors and methods for privileged configuration in a spatial array
US10956241B1 (en) 2017-12-20 2021-03-23 Xilinx, Inc. Unified container for hardware and software binaries
US10445250B2 (en) 2017-12-30 2019-10-15 Intel Corporation Apparatus, methods, and systems with a configurable spatial accelerator
US10565134B2 (en) 2017-12-30 2020-02-18 Intel Corporation Apparatus, methods, and systems for multicast in a configurable spatial accelerator
US10417175B2 (en) 2017-12-30 2019-09-17 Intel Corporation Apparatus, methods, and systems for memory consistency in a configurable spatial accelerator
US10564980B2 (en) 2018-04-03 2020-02-18 Intel Corporation Apparatus, methods, and systems for conditional queues in a configurable spatial accelerator
US11307873B2 (en) 2018-04-03 2022-04-19 Intel Corporation Apparatus, methods, and systems for unstructured data flow in a configurable spatial accelerator with predicate propagation and merging
EP3797355A4 (en) * 2018-06-22 2021-06-09 Huawei Technologies Co., Ltd. Method of deadlock detection and synchronization-aware optimizations on asynchronous processor architectures
US10853073B2 (en) 2018-06-30 2020-12-01 Intel Corporation Apparatuses, methods, and systems for conditional operations in a configurable spatial accelerator
US11200186B2 (en) 2018-06-30 2021-12-14 Intel Corporation Apparatuses, methods, and systems for operations in a configurable spatial accelerator
US10459866B1 (en) 2018-06-30 2019-10-29 Intel Corporation Apparatuses, methods, and systems for integrated control and data processing in a configurable spatial accelerator
US10891240B2 (en) 2018-06-30 2021-01-12 Intel Corporation Apparatus, methods, and systems for low latency communication in a configurable spatial accelerator
US10678724B1 (en) 2018-12-29 2020-06-09 Intel Corporation Apparatuses, methods, and systems for in-network storage in a configurable spatial accelerator
US10789401B1 (en) * 2019-03-06 2020-09-29 Xilinx, Inc. Folding multiply-and-accumulate logic
US11029927B2 (en) 2019-03-30 2021-06-08 Intel Corporation Methods and apparatus to detect and annotate backedges in a dataflow graph
US10965536B2 (en) 2019-03-30 2021-03-30 Intel Corporation Methods and apparatus to insert buffers in a dataflow graph
US10915471B2 (en) 2019-03-30 2021-02-09 Intel Corporation Apparatuses, methods, and systems for memory interface circuit allocation in a configurable spatial accelerator
US10817291B2 (en) 2019-03-30 2020-10-27 Intel Corporation Apparatuses, methods, and systems for swizzle operations in a configurable spatial accelerator
US11037050B2 (en) 2019-06-29 2021-06-15 Intel Corporation Apparatuses, methods, and systems for memory interface circuit arbitration in a configurable spatial accelerator
US11907713B2 (en) 2019-12-28 2024-02-20 Intel Corporation Apparatuses, methods, and systems for fused operations using sign modification in a processing element of a configurable spatial accelerator
RU2755274C1 (en) * 2020-12-04 2021-09-14 Федеральное государственное бюджетное образовательное учреждение высшего образования "Ростовский государственный экономический университет (РИНХ)" Device for generating minimal binary numbers

Citations (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10450A (en) * 1854-01-24 Thomas peossbr
US2067477A (en) * 1931-03-20 1937-01-12 Allis Chalmers Mfg Co Gearing
US3242998A (en) * 1962-05-28 1966-03-29 Wolf Electric Tools Ltd Electrically driven equipment
US3564506A (en) * 1968-01-17 1971-02-16 Ibm Instruction retry byte counter
US4044243A (en) * 1976-07-23 1977-08-23 Nestor Associates Information processing system
US4151611A (en) * 1976-03-26 1979-04-24 Tokyo Shibaura Electric Co., Ltd. Power supply control system for memory systems
US4498172A (en) * 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4498134A (en) * 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
US4566102A (en) * 1983-04-18 1986-01-21 International Business Machines Corporation Parallel-shift error reconfiguration
US4571736A (en) * 1983-10-31 1986-02-18 University Of Southwestern Louisiana Digital communication system employing differential coding and sample robbing
US4720780A (en) * 1985-09-17 1988-01-19 The Johns Hopkins University Memory-linked wavefront array processor
US4720778A (en) * 1985-01-31 1988-01-19 Hewlett Packard Company Software debugging analyzer
US4739474A (en) * 1983-03-10 1988-04-19 Martin Marietta Corporation Geometric-arithmetic parallel processor
US4811214A (en) * 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US4891810A (en) * 1986-10-31 1990-01-02 Thomson-Csf Reconfigurable computing device
US4901268A (en) * 1988-08-19 1990-02-13 General Electric Company Multiple function data processor
US4910665A (en) * 1986-09-02 1990-03-20 General Electric Company Distributed processing system including reconfigurable elements
US4918440A (en) * 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
US4992933A (en) * 1986-10-27 1991-02-12 International Business Machines Corporation SIMD array processor with global instruction control and reprogrammable instruction decoders
US5010401A (en) * 1988-08-11 1991-04-23 Mitsubishi Denki Kabushiki Kaisha Picture coding and decoding apparatus using vector quantization
US5081375A (en) * 1989-01-19 1992-01-14 National Semiconductor Corp. Method for operating a multiple page programmable logic device
US5099447A (en) * 1990-01-22 1992-03-24 Alliant Computer Systems Corporation Blocked matrix multiplication for computers with hierarchical memory
US5103311A (en) * 1988-01-11 1992-04-07 U.S. Philips Corporation Data processing module and video processing system incorporating same
US5109503A (en) * 1989-05-22 1992-04-28 Ge Fanuc Automation North America, Inc. Apparatus with reconfigurable counter includes memory for storing plurality of counter configuration files which respectively define plurality of predetermined counters
US5203005A (en) * 1989-05-02 1993-04-13 Horst Robert W Cell structure for linear array wafer scale integration architecture with capability to open boundary i/o bus without neighbor acknowledgement
US5204935A (en) * 1988-08-19 1993-04-20 Fuji Xerox Co., Ltd. Programmable fuzzy logic circuits
US5276836A (en) * 1991-01-10 1994-01-04 Hitachi, Ltd. Data processing device with common memory connecting mechanism
US5287511A (en) * 1988-07-11 1994-02-15 Star Semiconductor Corporation Architectures and methods for dividing processing tasks into tasks for a programmable real time signal processor and tasks for a decision making microprocessor interfacing therewith
US5287532A (en) * 1989-11-14 1994-02-15 Amt (Holdings) Limited Processor elements having multi-byte structure shift register for shifting data either byte wise or bit wise with single-bit output formed at bit positions thereof spaced by one byte
US5294119A (en) * 1991-09-27 1994-03-15 Taylor Made Golf Company, Inc. Vibration-damping device for a golf club
US5301344A (en) * 1991-01-29 1994-04-05 Analogic Corporation Multibus sequential processor to perform in parallel a plurality of reconfigurable logic operations on a plurality of data sets
US5301284A (en) * 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5303172A (en) * 1988-02-16 1994-04-12 Array Microsystems Pipelined combination and vector signal processor
US5379444A (en) * 1989-07-28 1995-01-03 Hughes Aircraft Company Array of one-bit processors each having only one bit of memory
US5386154A (en) * 1992-07-23 1995-01-31 Xilinx, Inc. Compact logic cell for field programmable gate array chip
US5386518A (en) * 1993-02-12 1995-01-31 Hughes Aircraft Company Reconfigurable computer interface and method
US5392437A (en) * 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units
US5408643A (en) * 1991-02-01 1995-04-18 Nec Corporation Watchdog timer with a non-masked interrupt masked only when a watchdog timer has been cleared
US5410723A (en) * 1989-11-21 1995-04-25 Deutsche Itt Industries Gmbh Wavefront array processor for blocking the issuance of first handshake signal (req) by the presence of second handshake signal (ack) which indicates the readyness of the receiving cell
US5450339A (en) * 1991-10-10 1995-09-12 Harris Corp Noncanonic fully systolic LMS adaptive architecture
US5483620A (en) * 1990-05-22 1996-01-09 International Business Machines Corp. Learning machine synapse processor system apparatus
US5485104A (en) * 1985-03-29 1996-01-16 Advanced Micro Devices, Inc. Logic allocator for a programmable logic device
US5485103A (en) * 1991-09-03 1996-01-16 Altera Corporation Programmable logic array with local and global conductors
US5489857A (en) * 1992-08-03 1996-02-06 Advanced Micro Devices, Inc. Flexible synchronous/asynchronous cell structure for a high density programmable logic device
US5491353A (en) * 1989-03-17 1996-02-13 Xilinx, Inc. Configurable cellular array
US5493239A (en) * 1995-01-31 1996-02-20 Motorola, Inc. Circuit and method of configuring a field programmable gate array
US5497498A (en) * 1992-11-05 1996-03-05 Giga Operations Corporation Video processing module using a second programmable logic device which reconfigures a first programmable logic device for data transformation
US5596742A (en) * 1993-04-02 1997-01-21 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5600265A (en) * 1986-09-19 1997-02-04 Actel Corporation Programmable interconnect architecture
US5600845A (en) * 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5600597A (en) * 1995-05-02 1997-02-04 Xilinx, Inc. Register protection structure for FPGA
US5606698A (en) * 1993-04-26 1997-02-25 Cadence Design Systems, Inc. Method for deriving optimal code schedule sequences from synchronous dataflow graphs
US5608342A (en) * 1995-10-23 1997-03-04 Xilinx, Inc. Hierarchical programming of electrically configurable integrated circuits
US5611049A (en) * 1992-06-03 1997-03-11 Pitts; William M. System for accessing distributed data cache channel at each network node to pass requests and data
US5705938A (en) * 1995-05-02 1998-01-06 Xilinx, Inc. Programmable switch for FPGA input/output signals
US5706482A (en) * 1995-05-31 1998-01-06 Nec Corporation Memory access controller
US5717890A (en) * 1991-04-30 1998-02-10 Kabushiki Kaisha Toshiba Method for processing data by utilizing hierarchical cache memories and processing system with the hierarchiacal cache memories
US5734869A (en) * 1995-09-06 1998-03-31 Chen; Duan-Ping High speed logic circuit simulator
US5734921A (en) * 1990-11-13 1998-03-31 International Business Machines Corporation Advanced parallel array processor computer package
US5828858A (en) * 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US5857109A (en) * 1992-11-05 1999-01-05 Giga Operations Corporation Programmable logic device for real time video processing
US5857097A (en) * 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
US5859544A (en) * 1996-09-05 1999-01-12 Altera Corporation Dynamic configurable elements for programmable logic devices
US5860119A (en) * 1996-11-25 1999-01-12 Vlsi Technology, Inc. Data-packet fifo buffer system with end-of-packet flags
US5862403A (en) * 1995-02-17 1999-01-19 Kabushiki Kaisha Toshiba Continuous data server apparatus and data transfer scheme enabling multiple simultaneous data accesses
US5867723A (en) * 1992-08-05 1999-02-02 Sarnoff Corporation Advanced massively parallel computer with a secondary storage device coupled through a secondary storage interface
US5867691A (en) * 1992-03-13 1999-02-02 Kabushiki Kaisha Toshiba Synchronizing system between function blocks arranged in hierarchical structures and large scale integrated circuit using the same
US5870620A (en) * 1995-06-01 1999-02-09 Sharp Kabushiki Kaisha Data driven type information processor with reduced instruction execution requirements
US5887165A (en) * 1996-06-21 1999-03-23 Mirage Technologies, Inc. Dynamically reconfigurable hardware system for real-time control of processes
US5889982A (en) * 1995-07-01 1999-03-30 Intel Corporation Method and apparatus for generating event handler vectors based on both operating mode and event type
US6011407A (en) * 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US6014509A (en) * 1996-05-20 2000-01-11 Atmel Corporation Field programmable gate array having access to orthogonal and diagonal adjacent neighboring cells
US6020758A (en) * 1996-03-11 2000-02-01 Altera Corporation Partially reconfigurable programmable logic device
US6021490A (en) * 1996-12-20 2000-02-01 Pact Gmbh Run-time reconfiguration method for programmable units
US6023742A (en) * 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
US6023564A (en) * 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
US6035371A (en) * 1997-05-28 2000-03-07 3Com Corporation Method and apparatus for addressing a static random access memory device based on signals for addressing a dynamic memory access device
US6038656A (en) * 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
US6038650A (en) * 1997-02-04 2000-03-14 Pactgmbh Method for the automatic address generation of modules within clusters comprised of a plurality of these modules
US6044030A (en) * 1998-12-21 2000-03-28 Philips Electronics North America Corporation FIFO unit with single pointer
US6128724A (en) * 1997-12-11 2000-10-03 Leland Stanford Junior University Computation using codes for controlling configurable computational circuit
US6173419B1 (en) * 1998-05-14 2001-01-09 Advanced Technology Materials, Inc. Field programmable gate array (FPGA) emulator for debugging software
US6178494B1 (en) * 1996-09-23 2001-01-23 Virtual Computer Corporation Modular, hybrid processor and method for producing a modular, hybrid processor
US6188650B1 (en) * 1997-10-21 2001-02-13 Sony Corporation Recording and reproducing system having resume function
US6204687B1 (en) * 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US20020013861A1 (en) * 1999-12-28 2002-01-31 Intel Corporation Method and apparatus for low overhead multithreaded communication in a parallel processing environment
US6507898B1 (en) * 1997-04-30 2003-01-14 Canon Kabushiki Kaisha Reconfigurable data cache controller
US6512804B1 (en) * 1999-04-07 2003-01-28 Applied Micro Circuits Corporation Apparatus and method for multiple serial data synchronization using channel-lock FIFO buffers optimized for jitter
US20030056062A1 (en) * 2001-09-14 2003-03-20 Prabhu Manohar K. Preemptive write back controller
US6538470B1 (en) * 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US20030061542A1 (en) * 2001-09-25 2003-03-27 International Business Machines Corporation Debugger program time monitor
US6681388B1 (en) * 1998-10-02 2004-01-20 Real World Computing Partnership Method and compiler for rearranging array data into sub-arrays of consecutively-addressed elements for distribution processing
US20040015899A1 (en) * 2000-10-06 2004-01-22 Frank May Method for processing data
US20040025005A1 (en) * 2000-06-13 2004-02-05 Martin Vorbach Pipeline configuration unit protocols and communication
US20040039880A1 (en) * 2002-08-23 2004-02-26 Vladimir Pentkovski Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system
US6868476B2 (en) * 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US20050066213A1 (en) * 2001-03-05 2005-03-24 Martin Vorbach Methods and devices for treating and processing data
US20060036988A1 (en) * 2001-06-12 2006-02-16 Altera Corporation Methods and apparatus for implementing parameterizable processors and peripherals
US7325123B2 (en) * 2001-03-22 2008-01-29 Qst Holdings, Llc Hierarchical interconnect for configuring separate interconnects for each group of fixed and diverse computational elements
US7346644B1 (en) * 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US7650448B2 (en) * 1996-12-20 2010-01-19 Pact Xpp Technologies Ag I/O and memory bus system for DFPS and units with two- or multi-dimensional programmable cell architectures
US7657877B2 (en) * 2001-06-20 2010-02-02 Pact Xpp Technologies Ag Method for processing data

Family Cites Families (491)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US34363A (en) * 1862-02-11 Improvement in machinery for cleaning cotton
US34444A (en) * 1862-02-18 Improvement in pumps for deep wells
GB1253309A (en) 1969-11-21 1971-11-10 Marconi Co Ltd Improvements in or relating to data processing arrangements
US3753008A (en) 1970-06-20 1973-08-14 Honeywell Inf Systems Memory pre-driver circuit
US3855577A (en) 1973-06-11 1974-12-17 Texas Instruments Inc Power saving circuit for calculator system
US4233667A (en) 1978-10-23 1980-11-11 International Business Machines Corporation Demand powered programmable logic array
US4442508A (en) 1981-08-05 1984-04-10 General Instrument Corporation Storage cells for use in two conductor data column storage logic arrays
US4590583A (en) 1982-07-16 1986-05-20 At&T Bell Laboratories Coin telephone measurement circuitry
US4667190A (en) 1982-07-30 1987-05-19 Honeywell Inc. Two axis fast access memory
JPS5936857A (en) 1982-08-25 1984-02-29 Nec Corp Processor unit
US4663706A (en) 1982-10-28 1987-05-05 Tandem Computers Incorporated Multiprocessor multisystem communications network
US4594682A (en) 1982-12-22 1986-06-10 Ibm Corporation Vector processing
US5123109A (en) 1983-05-31 1992-06-16 Thinking Machines Corporation Parallel processor including a processor array with plural data transfer arrangements including (1) a global router and (2) a proximate-neighbor transfer system
US4870302A (en) 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
JPS60198618A (en) 1984-03-21 1985-10-08 Oki Electric Ind Co Ltd Dynamic logical circuit
US4761755A (en) 1984-07-11 1988-08-02 Prime Computer, Inc. Data processing system and method having an improved arithmetic unit
US4682284A (en) 1984-12-06 1987-07-21 American Telephone & Telegraph Co., At&T Bell Lab. Queue administration method and apparatus
US4623997A (en) 1984-12-13 1986-11-18 United Technologies Corporation Coherent interface with wraparound receive and transmit memories
EP0190813B1 (en) 1985-01-29 1991-09-18 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Processing cell for fault tolerant arrays
US5023775A (en) 1985-02-14 1991-06-11 Intel Corporation Software programmable logic array utilizing "and" and "or" gates
US5247689A (en) 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5015884A (en) 1985-03-29 1991-05-14 Advanced Micro Devices, Inc. Multiple array high performance programmable logic device family
US4972314A (en) 1985-05-20 1990-11-20 Hughes Aircraft Company Data flow signal processor method and apparatus
US4967340A (en) 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
GB8517376D0 (en) 1985-07-09 1985-08-14 Jesshope C R Processor array
DE3687400T2 (en) 1985-11-04 1993-07-15 Ibm DIGITAL NEWS TRANSMISSION NETWORKS AND STRUCTURE OF TRANSMISSION WAYS IN THESE NETWORKS.
US4852048A (en) 1985-12-12 1989-07-25 Itt Corporation Single instruction multiple data (SIMD) cellular array processing apparatus employing a common bus where a first number of bits manifest a first bus portion and a second number of bits manifest a second bus portion
US4882687A (en) 1986-03-31 1989-11-21 Schlumberger Technology Corporation Pixel processor
US5021947A (en) 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
US5034914A (en) 1986-05-15 1991-07-23 Aquidneck Systems International, Inc. Optical disk data storage method and apparatus with buffered interface
GB8612396D0 (en) 1986-05-21 1986-06-25 Hewlett Packard Ltd Chain-configured interface bus system
US4791603A (en) 1986-07-18 1988-12-13 Honeywell Inc. Dynamically reconfigurable array logic
US4860201A (en) 1986-09-02 1989-08-22 The Trustees Of Columbia University In The City Of New York Binary tree parallel processor
US4884231A (en) 1986-09-26 1989-11-28 Performance Semiconductor Corporation Microprocessor system with extended arithmetic logic unit
US5226122A (en) 1987-08-21 1993-07-06 Compaq Computer Corp. Programmable logic system for filtering commands to a microprocessor
CA1299757C (en) 1987-08-28 1992-04-28 Brent Cameron Beardsley Device initiated partial system quiescing
US5119290A (en) 1987-10-02 1992-06-02 Sun Microsystems, Inc. Alias address support
CA1286421C (en) 1987-10-14 1991-07-16 Martin Claude Lefebvre Message fifo buffer controller
US5115510A (en) 1987-10-20 1992-05-19 Sharp Kabushiki Kaisha Multistage data flow processor with instruction packet, fetch, storage transmission and address generation controlled by destination information
US5113498A (en) 1987-11-10 1992-05-12 Echelon Corporation Input/output section for an intelligent cell which provides sensing, bidirectional communications and control
US4918690A (en) 1987-11-10 1990-04-17 Echelon Systems Corp. Network and intelligent cell for providing sensing, bidirectional communications and control
JPH01103715U (en) * 1987-12-28 1989-07-13
NL8800071A (en) 1988-01-13 1989-08-01 Philips Nv DATA PROCESSOR SYSTEM AND VIDEO PROCESSOR SYSTEM, PROVIDED WITH SUCH A DATA PROCESSOR SYSTEM.
USRE34444E (en) 1988-01-13 1993-11-16 Xilinx, Inc. Programmable logic device
DE68917326T2 (en) 1988-01-20 1995-03-02 Advanced Micro Devices Inc Organization of an integrated cache memory for flexible use to support multiprocessor operations.
US4959781A (en) 1988-05-16 1990-09-25 Stardent Computer, Inc. System for assigning interrupts to least busy processor that already loaded same class of interrupt routines
US4939641A (en) 1988-06-30 1990-07-03 Wang Laboratories, Inc. Multi-processor system with cache memories
JPH06101043B2 (en) 1988-06-30 1994-12-12 三菱電機株式会社 Microcomputer
JPH03500461A (en) 1988-07-22 1991-01-31 アメリカ合衆国 Data flow device for data-driven calculations
US5353432A (en) 1988-09-09 1994-10-04 Compaq Computer Corporation Interactive method for configuration of computer system and circuit boards with user specification of system resources and computer resolution of resource conflicts
ATE98833T1 (en) 1988-09-22 1994-01-15 Siemens Ag CIRCUIT ARRANGEMENT FOR TELECOMMUNICATION SWITCHING SYSTEMS, IN PARTICULAR PCM TIME MULTIPLEX TELEPHONE SWITCHING SYSTEMS WITH CENTRAL SWITCHING SYSTEM AND ATTACHED SUB-COUPLING SECTIONS.
DE68929518T2 (en) 1988-10-05 2005-06-09 Quickturn Design Systems, Inc., Mountain View A method of using an electronically reconfigurable gate array logic and apparatus manufactured thereby
JP2930341B2 (en) 1988-10-07 1999-08-03 マーチン・マリエッタ・コーポレーション Data parallel processing unit
US5014193A (en) 1988-10-14 1991-05-07 Compaq Computer Corporation Dynamically configurable portable computer system
US5136717A (en) 1988-11-23 1992-08-04 Flavors Technology Inc. Realtime systolic, multiple-instruction, single-data parallel computer system
US5041924A (en) 1988-11-30 1991-08-20 Quantum Corporation Removable and transportable hard disk subsystem
US5237686A (en) 1989-05-10 1993-08-17 Mitsubishi Denki Kabushiki Kaisha Multiprocessor type time varying image encoding system and image processor with memory bus control table for arbitration priority
JP2584673B2 (en) 1989-06-09 1997-02-26 株式会社日立製作所 Logic circuit test apparatus having test data change circuit
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
US5212652A (en) 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5233539A (en) 1989-08-15 1993-08-03 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure, input/output structure and configurable logic block
US5128559A (en) 1989-09-29 1992-07-07 Sgs-Thomson Microelectronics, Inc. Logic block for programmable logic devices
JP2968289B2 (en) 1989-11-08 1999-10-25 株式会社リコー Central processing unit
GB8925721D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
US5212777A (en) 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
US5522083A (en) 1989-11-17 1996-05-28 Texas Instruments Incorporated Reconfigurable multi-processor operating in SIMD mode with one processor fetching instructions for use by remaining processors
WO1991011765A1 (en) 1990-01-29 1991-08-08 Teraplex, Inc. Architecture for minimal instruction set computing system
US5125801A (en) 1990-02-02 1992-06-30 Isco, Inc. Pumping system
US5036493A (en) 1990-03-15 1991-07-30 Digital Equipment Corporation System and method for reducing power usage by multiple memory modules
US5142469A (en) 1990-03-29 1992-08-25 Ge Fanuc Automation North America, Inc. Method for converting a programmable logic controller hardware configuration and corresponding control program for use on a first programmable logic controller to use on a second programmable logic controller
US5555201A (en) 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
EP0463721A3 (en) 1990-04-30 1993-06-16 Gennum Corporation Digital signal processing device
WO1991017507A1 (en) 1990-05-07 1991-11-14 Mitsubishi Denki Kabushiki Kaisha Parallel data processing system
US5198705A (en) 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5193202A (en) 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
US5111079A (en) 1990-06-29 1992-05-05 Sgs-Thomson Microelectronics, Inc. Power reduction circuit for programmable logic device
CA2045773A1 (en) 1990-06-29 1991-12-30 Compaq Computer Corporation Byte-compare operation for high-performance processor
SE9002558D0 (en) 1990-08-02 1990-08-02 Carlstedt Elektronik Ab PROCESSOR
DE4129614C2 (en) 1990-09-07 2002-03-21 Hitachi Ltd System and method for data processing
US5274593A (en) 1990-09-28 1993-12-28 Intergraph Corporation High speed redundant rows and columns for semiconductor memories
US5144166A (en) 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5617577A (en) 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
US5588152A (en) 1990-11-13 1996-12-24 International Business Machines Corporation Advanced parallel processor including advanced support hardware
US5765011A (en) 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
US5590345A (en) 1990-11-13 1996-12-31 International Business Machines Corporation Advanced parallel array processor(APAP)
US5794059A (en) 1990-11-13 1998-08-11 International Business Machines Corporation N-dimensional modified hypercube
US5708836A (en) 1990-11-13 1998-01-13 International Business Machines Corporation SIMD/MIMD inter-processor communication
EP0485690B1 (en) 1990-11-13 1999-05-26 International Business Machines Corporation Parallel associative processor system
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
CA2051222C (en) 1990-11-30 1998-05-05 Pradeep S. Sindhu Consistent packet switched memory bus for shared memory multiprocessors
US5613128A (en) 1990-12-21 1997-03-18 Intel Corporation Programmable multi-processor interrupt controller system with a processor integrated local interrupt controller
US5212716A (en) 1991-02-05 1993-05-18 International Business Machines Corporation Data edge phase sorting circuits
US5218302A (en) 1991-02-06 1993-06-08 Sun Electric Corporation Interface for coupling an analyzer to a distributorless ignition system
EP0642094B1 (en) 1991-02-22 1998-09-02 Siemens Aktiengesellschaft Method for programming a logic unit
JPH04290155A (en) 1991-03-19 1992-10-14 Fujitsu Ltd Parallel data processing system
JPH04293151A (en) 1991-03-20 1992-10-16 Fujitsu Ltd Parallel data processing system
US5617547A (en) 1991-03-29 1997-04-01 International Business Machines Corporation Switch network extension of bus architecture
US5255221A (en) * 1991-04-02 1993-10-19 At&T Bell Laboratories Fully configurable versatile field programmable function element
WO1992018935A1 (en) 1991-04-09 1992-10-29 Fujitsu Limited Data processor and data processing method
US5446904A (en) 1991-05-17 1995-08-29 Zenith Data Systems Corporation Suspend/resume capability for a protected mode microprocessor
CA2109799A1 (en) 1991-05-24 1992-12-10 Daniel Mark Nosenchuck Optimizing compiler for computers
US5659797A (en) 1991-06-24 1997-08-19 U.S. Philips Corporation Sparc RISC based computer system including a single chip processor with memory management and DMA units coupled to a DRAM interface
JP3259969B2 (en) 1991-07-09 2002-02-25 株式会社東芝 Cache memory controller
US5347639A (en) 1991-07-15 1994-09-13 International Business Machines Corporation Self-parallelizing computer system and method
US5317209A (en) 1991-08-29 1994-05-31 National Semiconductor Corporation Dynamic three-state bussing capability in a configurable logic array
US5581731A (en) 1991-08-30 1996-12-03 King; Edward C. Method and apparatus for managing video data for faster access by selectively caching video data
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5633830A (en) 1995-11-08 1997-05-27 Altera Corporation Random access memory block circuitry for programmable logic array integrated circuit devices
CA2073516A1 (en) 1991-11-27 1993-05-28 Peter Michael Kogge Dynamic multi-mode parallel processor array architecture computer system
AU2939892A (en) 1991-12-06 1993-06-28 Richard S. Norman Massively-parallel direct output processor array
US5208491A (en) 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
FR2686175B1 (en) 1992-01-14 1996-12-20 Andre Thepaut MULTIPROCESSOR DATA PROCESSING SYSTEM.
US5412795A (en) 1992-02-25 1995-05-02 Micral, Inc. State machine having a variable timing mechanism for varying the duration of logical output states of the state machine based on variation in the clock frequency
US5452401A (en) 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
JP2647327B2 (en) 1992-04-06 1997-08-27 インターナショナル・ビジネス・マシーンズ・コーポレイション Massively parallel computing system equipment
US5493663A (en) 1992-04-22 1996-02-20 International Business Machines Corporation Method and apparatus for predetermining pages for swapping from physical memory in accordance with the number of accesses
JP2572522B2 (en) * 1992-05-12 1997-01-16 インターナショナル・ビジネス・マシーンズ・コーポレイション Computing device
JP3737104B2 (en) 1992-06-04 2006-01-18 ジリンクス,インコーポレーテッド Timing driven method of placing user circuitry in a programmable integrated circuit device
DE4221278C2 (en) 1992-06-29 1996-02-29 Martin Vorbach Bus-linked multi-computer system
US5475803A (en) 1992-07-10 1995-12-12 Lsi Logic Corporation Method for 2-D affine transformation of images
JP3032382B2 (en) 1992-07-13 2000-04-17 シャープ株式会社 Digital signal sampling frequency converter
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5590348A (en) 1992-07-28 1996-12-31 International Business Machines Corporation Status predictor for combined shifter-rotate/merge unit
US5802290A (en) 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
ATE237861T1 (en) 1992-09-03 2003-05-15 Sony Corp DATA RECORDING DEVICE AND METHOD
US5572710A (en) 1992-09-11 1996-11-05 Kabushiki Kaisha Toshiba High speed logic simulation system using time division emulation suitable for large scale logic circuits
US5425036A (en) 1992-09-18 1995-06-13 Quickturn Design Systems, Inc. Method and apparatus for debugging reconfigurable emulation systems
JPH06180653A (en) 1992-10-02 1994-06-28 Hudson Soft Co Ltd Interruption processing method and device therefor
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5311079A (en) 1992-12-17 1994-05-10 Ditlow Gary S Low power, high performance PLA
US5428526A (en) 1993-02-03 1995-06-27 Flood; Mark A. Programmable controller with time periodic communication
GB9303084D0 (en) 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH06276086A (en) 1993-03-18 1994-09-30 Fuji Xerox Co Ltd Field programmable gate array
US5548773A (en) 1993-03-30 1996-08-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Digital parallel processor array for optimum path planning
US5418953A (en) 1993-04-12 1995-05-23 Loral/Rohm Mil-Spec Corp. Method for automated deployment of a software program onto a multi-processor architecture
US5473266A (en) 1993-04-19 1995-12-05 Altera Corporation Programmable logic device having fast programmable logic array blocks and a central global interconnect array
DE4416881C2 (en) 1993-05-13 1998-03-19 Pact Inf Tech Gmbh Method for operating a data processing device
US5435000A (en) 1993-05-19 1995-07-18 Bull Hn Information Systems Inc. Central processing unit using dual basic processing units and combined result bus
US5349193A (en) 1993-05-20 1994-09-20 Princeton Gamma Tech, Inc. Highly sensitive nuclear spectrometer apparatus and method
IT1260848B (en) 1993-06-11 1996-04-23 Finmeccanica Spa MULTIPROCESSOR SYSTEM
US5444394A (en) 1993-07-08 1995-08-22 Altera Corporation PLD with selective inputs from local and global conductors
JPH0736858A (en) 1993-07-21 1995-02-07 Hitachi Ltd Signal processor
US5581734A (en) 1993-08-02 1996-12-03 International Business Machines Corporation Multiprocessor system with shared cache and data input/output circuitry for transferring data amount greater than system bus capacity
CA2129882A1 (en) 1993-08-12 1995-02-13 Soheil Shams Dynamically reconfigurable interprocessor communication network for simd multiprocessors and apparatus implementing same
US5457644A (en) 1993-08-20 1995-10-10 Actel Corporation Field programmable digital signal processing array integrated circuit
GB2282244B (en) 1993-09-23 1998-01-14 Advanced Risc Mach Ltd Integrated circuit
US5440538A (en) 1993-09-23 1995-08-08 Massachusetts Institute Of Technology Communication system with redundant links and data bit time multiplexing
US6219688B1 (en) 1993-11-30 2001-04-17 Texas Instruments Incorporated Method, apparatus and system for sum of plural absolute differences
US5455525A (en) 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US5535406A (en) 1993-12-29 1996-07-09 Kolchinsky; Alexander Virtual processor module including a reconfigurable programmable matrix
US5680583A (en) 1994-02-16 1997-10-21 Arkos Design, Inc. Method and apparatus for a trace buffer in an emulation system
CN1104683C (en) 1994-03-22 2003-04-02 海珀奇普公司 Efficient direct cell replacement fault tolerant structure support completely integrated system with means of direct communication with system operator
US5574927A (en) 1994-03-25 1996-11-12 International Meta Systems, Inc. RISC architecture computer configured for emulation of the instruction set of a target computer
US5561738A (en) 1994-03-25 1996-10-01 Motorola, Inc. Data processor for executing a fuzzy logic operation and method therefor
US5430687A (en) 1994-04-01 1995-07-04 Xilinx, Inc. Programmable logic device including a parallel input device for loading memory cells
US5781756A (en) 1994-04-01 1998-07-14 Xilinx, Inc. Programmable logic device with partially configurable memory cells and a method for configuration
US5504439A (en) 1994-04-01 1996-04-02 Xilinx, Inc. I/O interface cell for use with optional pad
US5761484A (en) 1994-04-01 1998-06-02 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5896551A (en) 1994-04-15 1999-04-20 Micron Technology, Inc. Initializing and reprogramming circuitry for state independent memory array burst operations control
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
JP2671804B2 (en) 1994-05-27 1997-11-05 日本電気株式会社 Hierarchical resource management method
US5532693A (en) 1994-06-13 1996-07-02 Advanced Hardware Architectures Adaptive data compression system with systolic string matching logic
EP0690378A1 (en) 1994-06-30 1996-01-03 Tandem Computers Incorporated Tool and method for diagnosing and correcting errors in a computer programm
JP3308770B2 (en) 1994-07-22 2002-07-29 三菱電機株式会社 Information processing apparatus and calculation method in information processing apparatus
JP3365581B2 (en) 1994-07-29 2003-01-14 富士通株式会社 Information processing device with self-healing function
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5513366A (en) 1994-09-28 1996-04-30 International Business Machines Corporation Method and system for dynamically reconfiguring a register file in a vector processor
US5619720A (en) 1994-10-04 1997-04-08 Analog Devices, Inc. Digital signal processor having link ports for point-to-point communication
US5450022A (en) 1994-10-07 1995-09-12 Xilinx Inc. Structure and method for configuration of a field programmable gate array
EP0707269A1 (en) 1994-10-11 1996-04-17 International Business Machines Corporation Cache coherence network for a multiprocessor data processing system
US5530946A (en) 1994-10-28 1996-06-25 Dell Usa, L.P. Processor failure detection and recovery circuit in a dual processor computer system and method of operation thereof
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
JPH08137824A (en) 1994-11-15 1996-05-31 Mitsubishi Semiconductor Software Kk Single-chip microcomputer with built-in self-test function
US6154826A (en) 1994-11-16 2000-11-28 University Of Virginia Patent Foundation Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order
US5584013A (en) 1994-12-09 1996-12-10 International Business Machines Corporation Hierarchical cache arrangement wherein the replacement of an LRU entry in a second level cache is prevented when the cache entry is the only inclusive entry in the first level cache
EP0721157A1 (en) 1994-12-12 1996-07-10 Advanced Micro Devices, Inc. Microprocessor with selectable clock frequency
US5537580A (en) 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language
US6128720A (en) 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5682491A (en) 1994-12-29 1997-10-28 International Business Machines Corporation Selective processing and routing of results among processors controlled by decoding instructions using mask value derived from instruction tag and processor identifier
US5696791A (en) 1995-01-17 1997-12-09 Vtech Industries, Inc. Apparatus and method for decoding a sequence of digitally encoded data
US5532957A (en) 1995-01-31 1996-07-02 Texas Instruments Incorporated Field reconfigurable logic/memory array
US6052773A (en) 1995-02-10 2000-04-18 Massachusetts Institute Of Technology DPGA-coupled microprocessors
US5659785A (en) 1995-02-10 1997-08-19 International Business Machines Corporation Array processor communication architecture with broadcast processor instructions
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5537057A (en) 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
US5675743A (en) 1995-02-22 1997-10-07 Callisto Media Systems Inc. Multi-media server
US5570040A (en) 1995-03-22 1996-10-29 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5757207A (en) 1995-03-22 1998-05-26 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
JP3313007B2 (en) 1995-04-14 2002-08-12 三菱電機株式会社 Microcomputer
US5794062A (en) 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
US6077315A (en) 1995-04-17 2000-06-20 Ricoh Company Ltd. Compiling system and method for partially reconfigurable computing
WO1996034346A1 (en) 1995-04-28 1996-10-31 Xilinx, Inc. Microprocessor with distributed registers accessible by programmable logic device
US5701091A (en) 1995-05-02 1997-12-23 Xilinx, Inc. Routing resources for hierarchical FPGA
US5541530A (en) 1995-05-17 1996-07-30 Altera Corporation Programmable logic array integrated circuits with blocks of logic regions grouped into super-blocks
US5649179A (en) 1995-05-19 1997-07-15 Motorola, Inc. Dynamic instruction allocation for a SIMD processor
US5821774A (en) 1995-05-26 1998-10-13 Xilinx, Inc. Structure and method for arithmetic function implementation in an EPLD having high speed product term allocation structure
US5671432A (en) 1995-06-02 1997-09-23 International Business Machines Corporation Programmable array I/O-routing resource
US5652529A (en) 1995-06-02 1997-07-29 International Business Machines Corporation Programmable array clock/reset resource
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5815715A (en) 1995-06-05 1998-09-29 Motorola, Inc. Method for designing a product having hardware and software components and product therefor
US5559450A (en) 1995-07-27 1996-09-24 Lucent Technologies Inc. Field programmable gate array with multi-port RAM
US5978583A (en) 1995-08-07 1999-11-02 International Business Machines Corp. Method for resource control in parallel environments using program organization and run-time support
US5649176A (en) 1995-08-10 1997-07-15 Virtual Machine Works, Inc. Transition analysis and circuit resynthesis method and device for digital circuit modeling
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
GB2304438A (en) 1995-08-17 1997-03-19 Kenneth Austin Re-configurable application specific device
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5583450A (en) 1995-08-18 1996-12-10 Xilinx, Inc. Sequencer for a time multiplexed programmable logic device
US5737565A (en) 1995-08-24 1998-04-07 International Business Machines Corporation System and method for diallocating stream from a stream buffer
US5737516A (en) 1995-08-30 1998-04-07 Motorola, Inc. Data processing system for performing a debug function and method therefor
US6430309B1 (en) 1995-09-15 2002-08-06 Monogen, Inc. Specimen preview and inspection system
US5652894A (en) 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for providing power saving modes to a pipelined processor
US5745734A (en) 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
US5754827A (en) 1995-10-13 1998-05-19 Mentor Graphics Corporation Method and apparatus for performing fully visible tracing of an emulation
US5642058A (en) 1995-10-16 1997-06-24 Xilinx , Inc. Periphery input/output interconnect structure
US5815004A (en) 1995-10-16 1998-09-29 Xilinx, Inc. Multi-buffered configurable logic block output lines in a field programmable gate array
US5675262A (en) 1995-10-26 1997-10-07 Xilinx, Inc. Fast carry-out scheme in a field programmable gate array
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
US5943242A (en) 1995-11-17 1999-08-24 Pact Gmbh Dynamically reconfigurable data processing system
US5732209A (en) 1995-11-29 1998-03-24 Exponential Technology, Inc. Self-testing multi-processor die with internal compare points
US5773994A (en) 1995-12-15 1998-06-30 Cypress Semiconductor Corp. Method and apparatus for implementing an internal tri-state bus within a programmable logic circuit
JPH09231788A (en) 1995-12-19 1997-09-05 Fujitsu Ltd Shift register and programmable logic circuit and programmable logic circuit system
WO2002071248A2 (en) * 2001-03-05 2002-09-12 Pact Informationstechnologie Gmbh Methods and devices for treating and/or processing data
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US5804986A (en) 1995-12-29 1998-09-08 Cypress Semiconductor Corp. Memory in a programmable logic device
JP3247043B2 (en) 1996-01-12 2002-01-15 株式会社日立製作所 Information processing system and logic LSI for detecting failures using internal signals
US6049859A (en) * 1996-01-15 2000-04-11 Siemens Aktiengesellschaft Image-processing processor
US5760602A (en) 1996-01-17 1998-06-02 Hewlett-Packard Company Time multiplexing a plurality of configuration settings of a programmable switch element in a FPGA
JP2795244B2 (en) 1996-01-17 1998-09-10 日本電気株式会社 Program debugging system
US5854918A (en) 1996-01-24 1998-12-29 Ricoh Company Ltd. Apparatus and method for self-timed algorithmic execution
US5898602A (en) 1996-01-25 1999-04-27 Xilinx, Inc. Carry chain circuit with flexible carry function for implementing arithmetic and logical functions
US5936424A (en) 1996-02-02 1999-08-10 Xilinx, Inc. High speed bus with tree structure for selecting bus driver
US5635851A (en) 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5754459A (en) 1996-02-08 1998-05-19 Xilinx, Inc. Multiplier circuit design for a programmable logic device
KR0165515B1 (en) 1996-02-17 1999-01-15 김광호 Fifo method and apparatus of graphic data
GB9604496D0 (en) 1996-03-01 1996-05-01 Xilinx Inc Embedded memory for field programmable gate array
US5841973A (en) 1996-03-13 1998-11-24 Cray Research, Inc. Messaging in distributed memory multiprocessing system having shell circuitry for atomic control of message storage queue's tail pointer structure in local memory
US6279077B1 (en) 1996-03-22 2001-08-21 Texas Instruments Incorporated Bus interface buffer control in a microprocessor
US6311265B1 (en) 1996-03-25 2001-10-30 Torrent Systems, Inc. Apparatuses and methods for programming parallel computers
US6154049A (en) 1998-03-27 2000-11-28 Xilinx, Inc. Multiplier fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US6173434B1 (en) 1996-04-22 2001-01-09 Brigham Young University Dynamically-configurable digital processor using method for relocating logic array modules
US5960200A (en) 1996-05-03 1999-09-28 I-Cube System to transition an enterprise to a distributed infrastructure
US5784636A (en) 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US5892370A (en) 1996-06-21 1999-04-06 Quicklogic Corporation Clock network for field programmable gate array
US6785826B1 (en) 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
KR100280285B1 (en) 1996-08-19 2001-02-01 윤종용 Multimedia processor suitable for multimedia signals
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
US6624658B2 (en) 1999-02-04 2003-09-23 Advantage Logic, Inc. Method and apparatus for universal program controlled bus architecture
US6049866A (en) 1996-09-06 2000-04-11 Silicon Graphics, Inc. Method and system for an efficient user mode cache manipulation using a simulated instruction
JP3934710B2 (en) 1996-09-13 2007-06-20 株式会社ルネサステクノロジ Microprocessor
US5694602A (en) 1996-10-01 1997-12-02 The United States Of America As Represented By The Secretary Of The Air Force Weighted system and method for spatial allocation of a parallel load
US5832288A (en) 1996-10-18 1998-11-03 Samsung Electronics Co., Ltd. Element-select mechanism for a vector processor
US5901279A (en) 1996-10-18 1999-05-04 Hughes Electronics Corporation Connection of spares between multiple programmable devices
US5892962A (en) 1996-11-12 1999-04-06 Lucent Technologies Inc. FPGA-based processor
US5844422A (en) 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
DE19651075A1 (en) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Unit for processing numerical and logical operations, for use in processors (CPU's), multi-computer systems, data flow processors (DFP's), digital signal processors (DSP's) or the like
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654846A1 (en) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Process for the independent dynamic reloading of data flow processors (DFPs) as well as modules with two- or multi-dimensional programmable cell structures (FPGAs, DPGAs, etc.)
JP3961028B2 (en) * 1996-12-27 2007-08-15 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト Data flow processor (DFP) automatic dynamic unloading method and modules with 2D or 3D programmable cell structure (FPGA, DPGA, etc.)
US6427156B1 (en) 1997-01-21 2002-07-30 Xilinx, Inc. Configurable logic block with AND gate for efficient multiplication in FPGAS
EP0858167A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor device
EP0858168A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor array
US5865239A (en) 1997-02-05 1999-02-02 Micropump, Inc. Method for making herringbone gears
US6055619A (en) 1997-02-07 2000-04-25 Cirrus Logic, Inc. Circuits, system, and methods for processing multiple data streams
DE19704728A1 (en) 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Method for self-synchronization of configurable elements of a programmable module
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704742A1 (en) 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internal bus system for DFPs, as well as modules with two- or multi-dimensional programmable cell structures, for coping with large amounts of data with high networking effort
US6150837A (en) 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
WO1998038958A1 (en) 1997-03-05 1998-09-11 Massachusetts Institute Of Technology A reconfigurable footprint mechanism for omnidirectional vehicles
US5884075A (en) 1997-03-10 1999-03-16 Compaq Computer Corporation Conflict resolution using self-contained virtual devices
US6125408A (en) 1997-03-10 2000-09-26 Compaq Computer Corporation Resource type prioritization in generating a device configuration
US6085317A (en) 1997-08-15 2000-07-04 Altera Corporation Reconfigurable computer architecture using programmable logic devices
AUPO647997A0 (en) 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Memory controller architecture
US6389379B1 (en) 1997-05-02 2002-05-14 Axis Systems, Inc. Converification system and method
US6321366B1 (en) 1997-05-02 2001-11-20 Axis Systems, Inc. Timing-insensitive glitch-free logic system and method
US6047115A (en) 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US6421817B1 (en) 1997-05-29 2002-07-16 Xilinx, Inc. System and method of computation in a programmable logic device using virtual instructions
US6339840B1 (en) 1997-06-02 2002-01-15 Iowa State University Research Foundation, Inc. Apparatus and method for parallelizing legacy computer code
US5996048A (en) 1997-06-20 1999-11-30 Sun Microsystems, Inc. Inclusion vector architecture for a level two cache
US5838988A (en) 1997-06-25 1998-11-17 Sun Microsystems, Inc. Computer product for precise architectural update in an out-of-order processor
US6240502B1 (en) 1997-06-25 2001-05-29 Sun Microsystems, Inc. Apparatus for dynamically reconfiguring a processor
US5966534A (en) 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6020760A (en) 1997-07-16 2000-02-01 Altera Corporation I/O buffer circuit with pin multiplexing
US6282701B1 (en) 1997-07-31 2001-08-28 Mutek Solutions, Ltd. System and method for monitoring and analyzing the execution of computer programs
US6170051B1 (en) 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6078736A (en) 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
JP3612186B2 (en) 1997-09-19 2005-01-19 株式会社ルネサステクノロジ Data processing device
US6539415B1 (en) 1997-09-24 2003-03-25 Sony Corporation Method and apparatus for the allocation of audio/video tasks in a network system
US5966143A (en) 1997-10-14 1999-10-12 Motorola, Inc. Data allocation into multiple memories for concurrent access
JP4128251B2 (en) 1997-10-23 2008-07-30 富士通株式会社 Wiring density prediction method and cell placement apparatus
US6212544B1 (en) 1997-10-23 2001-04-03 International Business Machines Corporation Altering thread priorities in a multithreaded processor
US6076157A (en) 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US6247147B1 (en) 1997-10-27 2001-06-12 Altera Corporation Enhanced embedded logic analyzer
US6122719A (en) 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US6108760A (en) 1997-10-31 2000-08-22 Silicon Spice Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US5915123A (en) 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6127908A (en) 1997-11-17 2000-10-03 Massachusetts Institute Of Technology Microelectro-mechanical system actuator device and reconfigurable circuits utilizing same
JP4197755B2 (en) 1997-11-19 2008-12-17 富士通株式会社 Signal transmission system, receiver circuit of the signal transmission system, and semiconductor memory device to which the signal transmission system is applied
US6212650B1 (en) 1997-11-24 2001-04-03 Xilinx, Inc. Interactive dubug tool for programmable circuits
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
DE69737750T2 (en) 1997-12-17 2008-03-06 Hewlett-Packard Development Co., L.P., Houston First and second processors used method
DE69827589T2 (en) 1997-12-17 2005-11-03 Elixent Ltd. Configurable processing assembly and method of using this assembly to build a central processing unit
JP2003526129A (en) 1997-12-17 2003-09-02 エリクセントリミティド Implementation of a multiplier in a programmable array
DE69841256D1 (en) 1997-12-17 2009-12-10 Panasonic Corp Command masking for routing command streams to a processor
DE19861088A1 (en) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Repairing integrated circuits by replacing subassemblies with substitutes
US6049222A (en) 1997-12-30 2000-04-11 Xilinx, Inc Configuring an FPGA using embedded memory
US6172520B1 (en) 1997-12-30 2001-01-09 Xilinx, Inc. FPGA system with user-programmable configuration ports and method for reconfiguring the FPGA
US6260114B1 (en) 1997-12-30 2001-07-10 Mcmz Technology Innovations, Llc Computer cache memory windowing
US6301706B1 (en) 1997-12-31 2001-10-09 Elbrus International Limited Compiler method and apparatus for elimination of redundant speculative computations from innermost loops
US6105106A (en) 1997-12-31 2000-08-15 Micron Technology, Inc. Computer system, memory device and shift register including a balanced switching circuit with series connected transfer gates which are selectively clocked for fast switching times
US6216223B1 (en) 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6034538A (en) 1998-01-21 2000-03-07 Lucent Technologies Inc. Virtual logic system for reconfigurable hardware
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
US6389579B1 (en) 1998-01-26 2002-05-14 Chameleon Systems Reconfigurable logic for table lookup
KR100572945B1 (en) 1998-02-04 2006-04-24 텍사스 인스트루먼츠 인코포레이티드 Digital signal processor with efficiently connectable hardware co-processor
US7152027B2 (en) 1998-02-17 2006-12-19 National Instruments Corporation Reconfigurable test system
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
US6198304B1 (en) 1998-02-23 2001-03-06 Xilinx, Inc. Programmable logic device
US6096091A (en) 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
DE19807872A1 (en) 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Method of managing configuration data in data flow processors
US6088800A (en) 1998-02-27 2000-07-11 Mosaid Technologies, Incorporated Encryption processor with shared memory interconnect
FR2776093A1 (en) * 1998-03-10 1999-09-17 Philips Electronics Nv PROGRAMMABLE PROCESSOR CIRCUIT PROVIDED WITH A RECONFIGURABLE MEMORY FOR PRODUCING A DIGITAL FILTER
US6298043B1 (en) 1998-03-28 2001-10-02 Nortel Networks Limited Communication system architecture and a connection verification mechanism therefor
US6374286B1 (en) 1998-04-06 2002-04-16 Rockwell Collins, Inc. Real time processor capable of concurrently running multiple independent JAVA machines
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6421808B1 (en) 1998-04-24 2002-07-16 Cadance Design Systems, Inc. Hardware design language for the design of integrated circuits
US6449283B1 (en) 1998-05-15 2002-09-10 Polytechnic University Methods and apparatus for providing a fast ring reservation arbitration
US5999990A (en) 1998-05-18 1999-12-07 Motorola, Inc. Communicator having reconfigurable resources
US6298396B1 (en) 1998-06-01 2001-10-02 Advanced Micro Devices, Inc. System for loading a current buffer desciptor register with a value different from current value to cause a previously read buffer descriptor to be read again
US6092174A (en) 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
JP3123977B2 (en) 1998-06-04 2001-01-15 日本電気株式会社 Programmable function block
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6202182B1 (en) 1998-06-30 2001-03-13 Lucent Technologies Inc. Method and apparatus for testing field programmable gate arrays
DE69803373T2 (en) 1998-07-06 2002-08-14 Hewlett Packard Co Wiring cells in logical fields
EP0974906A3 (en) 1998-07-24 2008-12-24 Interuniversitair Microelektronica Centrum Vzw Method for determining an optimized memory organization of a digital device
US6137307A (en) 1998-08-04 2000-10-24 Xilinx, Inc. Structure and method for loading wide frames of data from a narrow input bus
DE19835189C2 (en) 1998-08-04 2001-02-08 Unicor Rohrsysteme Gmbh Device for the continuous production of seamless plastic pipes
US20020152060A1 (en) 1998-08-31 2002-10-17 Tseng Ping-Sheng Inter-chip communication system
JP2000076066A (en) 1998-09-02 2000-03-14 Fujitsu Ltd Signal processing circuit
US7100026B2 (en) 2001-05-30 2006-08-29 The Massachusetts Institute Of Technology System and method for performing efficient conditional vector operations for data parallel architectures involving both input and conditional vector values
US6205458B1 (en) 1998-09-21 2001-03-20 Rn2R, L.L.C. Adder and multiplier circuits employing logic gates having discrete, weighted inputs and methods of performing combinatorial operations therewith
US6421757B1 (en) * 1998-09-30 2002-07-16 Conexant Systems, Inc Method and apparatus for controlling the programming and erasing of flash memory
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
EP1351154A2 (en) 1998-11-20 2003-10-08 Altera Corporation Reconfigurable programmable logic device computer system
US6977649B1 (en) 1998-11-23 2005-12-20 3Dlabs, Inc. Ltd 3D graphics rendering with selective read suspend
JP2000181566A (en) 1998-12-14 2000-06-30 Mitsubishi Electric Corp Multiclock parallel processor
US6434695B1 (en) 1998-12-23 2002-08-13 Apple Computer, Inc. Computer operating system using compressed ROM image in RAM
US6757847B1 (en) 1998-12-29 2004-06-29 International Business Machines Corporation Synchronization for system analysis
US6496902B1 (en) 1998-12-31 2002-12-17 Cray Inc. Vector and scalar data cache for a vector multiprocessor
JP3585800B2 (en) 1999-01-13 2004-11-04 株式会社東芝 Information processing equipment
US6539438B1 (en) 1999-01-15 2003-03-25 Quickflex Inc. Reconfigurable computing system and method and apparatus employing same
US6490695B1 (en) 1999-01-22 2002-12-03 Sun Microsystems, Inc. Platform independent memory image analysis architecture for debugging a computer program
US6321298B1 (en) 1999-01-25 2001-11-20 International Business Machines Corporation Full cache coherency across multiple raid controllers
DE10028397A1 (en) 2000-06-13 2001-12-20 Pact Inf Tech Gmbh Registration method in operating a reconfigurable unit, involves evaluating acknowledgement signals of configurable cells with time offset to configuration
US6243808B1 (en) 1999-03-08 2001-06-05 Chameleon Systems, Inc. Digital data bit order conversion using universal switch matrix comprising rows of bit swapping selector groups
US6286134B1 (en) 1999-04-23 2001-09-04 Sun Microsystems, Inc. Instruction selection in a multi-platform environment
JP2000311156A (en) 1999-04-27 2000-11-07 Mitsubishi Electric Corp Reconfigurable parallel computer
US6381624B1 (en) 1999-04-29 2002-04-30 Hewlett-Packard Company Faster multiply/accumulator
US6298472B1 (en) 1999-05-07 2001-10-02 Chameleon Systems, Inc. Behavioral silicon construct architecture and mapping
US6748440B1 (en) 1999-05-12 2004-06-08 Microsoft Corporation Flow of streaming data through multiple processing modules
US7007096B1 (en) 1999-05-12 2006-02-28 Microsoft Corporation Efficient splitting and mixing of streaming-data frames for processing through multiple processing modules
US6211697B1 (en) 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
DE19926538A1 (en) 1999-06-10 2000-12-14 Pact Inf Tech Gmbh Hardware with decoupled configuration register partitions data flow or control flow graphs into time-separated sub-graphs and forms and implements them sequentially on a component
EP1061439A1 (en) 1999-06-15 2000-12-20 Hewlett-Packard Company Memory and instructions in computer architecture containing processor and coprocessor
US6757892B1 (en) 1999-06-24 2004-06-29 Sarnoff Corporation Method for determining an optimal partitioning of data among several memories
JP3420121B2 (en) 1999-06-30 2003-06-23 Necエレクトロニクス株式会社 Nonvolatile semiconductor memory device
US6347346B1 (en) 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips
GB2352548B (en) 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
US6745317B1 (en) 1999-07-30 2004-06-01 Broadcom Corporation Three level direct communication connections between neighboring multiple context processing elements
US6370596B1 (en) 1999-08-03 2002-04-09 Chameleon Systems, Inc. Logic flag registers for monitoring processing system events
US6341318B1 (en) 1999-08-10 2002-01-22 Chameleon Systems, Inc. DMA data streaming
US6438747B1 (en) 1999-08-20 2002-08-20 Hewlett-Packard Company Programmatic iteration scheduling for parallel processors
US6507947B1 (en) 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6606704B1 (en) 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US6349346B1 (en) 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6288566B1 (en) 1999-09-23 2001-09-11 Chameleon Systems, Inc. Configuration state memory for functional blocks on a reconfigurable chip
US6311200B1 (en) 1999-09-23 2001-10-30 Chameleon Systems, Inc. Reconfigurable program sum of products generator
US6631487B1 (en) 1999-09-27 2003-10-07 Lattice Semiconductor Corp. On-line testing of field programmable gate array resources
DE19946752A1 (en) 1999-09-29 2001-04-12 Infineon Technologies Ag Reconfigurable gate array
US6412043B1 (en) 1999-10-01 2002-06-25 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6598128B1 (en) 1999-10-01 2003-07-22 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6665758B1 (en) 1999-10-04 2003-12-16 Ncr Corporation Software sanity monitor
US6434642B1 (en) 1999-10-07 2002-08-13 Xilinx, Inc. FIFO memory system and method with improved determination of full and empty conditions and amount of data stored
WO2001037083A2 (en) * 1999-11-18 2001-05-25 Sun Microsystems, Inc. Decompression bit processing with a general purpose alignment tool
JP2001167066A (en) 1999-12-08 2001-06-22 Nec Corp Inter-processor communication method and multiprocessor system
US6633181B1 (en) 1999-12-30 2003-10-14 Stretch, Inc. Multi-scale programmable array
EP1115204B1 (en) 2000-01-07 2009-04-22 Nippon Telegraph and Telephone Corporation Function reconfigurable semiconductor device and integrated circuit configuring the semiconductor device
JP2001202236A (en) 2000-01-20 2001-07-27 Fuji Xerox Co Ltd Data processing method for programmable logic circuit device and the same device and information processing system and circuit reconstituting method for the same device
US6701431B2 (en) 2000-01-28 2004-03-02 Infineon Technologies Ag Method of generating a configuration for a configurable spread spectrum communication device
US6496971B1 (en) 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US6519674B1 (en) 2000-02-18 2003-02-11 Chameleon Systems, Inc. Configuration bits layout
JP2001236221A (en) 2000-02-21 2001-08-31 Keisuke Shindo Pipe line parallel processor using multi-thread
US6865663B2 (en) 2000-02-24 2005-03-08 Pts Corporation Control processor dynamically loading shadow instruction register associated with memory entry of coprocessor in flexible coupling mode
JP3674515B2 (en) 2000-02-25 2005-07-20 日本電気株式会社 Array type processor
US6434672B1 (en) 2000-02-29 2002-08-13 Hewlett-Packard Company Methods and apparatus for improving system performance with a shared cache memory
US6539477B1 (en) 2000-03-03 2003-03-25 Chameleon Systems, Inc. System and method for control synthesis using a reachable states look-up table
KR100841411B1 (en) 2000-03-14 2008-06-25 소니 가부시끼 가이샤 Transmission apparatus, reception apparatus, transmission method, reception method and recording medium
US6657457B1 (en) 2000-03-15 2003-12-02 Intel Corporation Data transfer on reconfigurable chip
US6871341B1 (en) 2000-03-24 2005-03-22 Intel Corporation Adaptive scheduling of function cells in dynamic reconfigurable logic
US6624819B1 (en) 2000-05-01 2003-09-23 Broadcom Corporation Method and system for providing a flexible and efficient processor for use in a graphics processing system
US6362650B1 (en) 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6373779B1 (en) 2000-05-19 2002-04-16 Xilinx, Inc. Block RAM having multiple configurable write modes for use in a field programmable gate array
US6725334B2 (en) 2000-06-09 2004-04-20 Hewlett-Packard Development Company, L.P. Method and system for exclusive two-level caching in a chip-multiprocessor
US7340596B1 (en) 2000-06-12 2008-03-04 Altera Corporation Embedded processor with watchdog timer for programmable logic
US6285624B1 (en) 2000-07-08 2001-09-04 Han-Ping Chen Multilevel memory access method
JP2002033457A (en) * 2000-07-18 2002-01-31 Hitachi Ltd Semiconductor integrated circuit device
DE10036627A1 (en) 2000-07-24 2002-02-14 Pact Inf Tech Gmbh Integrated cell matrix circuit has at least 2 different types of cells with interconnection terminals positioned to allow mixing of different cell types within matrix circuit
DE10129237A1 (en) 2000-10-09 2002-04-18 Pact Inf Tech Gmbh Integrated cell matrix circuit has at least 2 different types of cells with interconnection terminals positioned to allow mixing of different cell types within matrix circuit
JP2002041489A (en) 2000-07-25 2002-02-08 Mitsubishi Electric Corp Synchronizing signal generation circuit, processor system using the same and synchronizing signal generating method
US6538468B1 (en) 2000-07-31 2003-03-25 Cypress Semiconductor Corporation Method and apparatus for multiple boot-up functionalities for a programmable logic device (PLD)
US6542844B1 (en) 2000-08-02 2003-04-01 International Business Machines Corporation Method and apparatus for tracing hardware states using dynamically reconfigurable test circuits
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
AU2001283549A1 (en) 2000-08-07 2002-02-18 Altera Corporation Software-to-hardware compiler
EP1182559B1 (en) 2000-08-21 2009-01-21 Texas Instruments Incorporated Improved microprocessor
US7249351B1 (en) 2000-08-30 2007-07-24 Broadcom Corporation System and method for preparing software for execution in a dynamically configurable hardware environment
US6829697B1 (en) 2000-09-06 2004-12-07 International Business Machines Corporation Multiple logical interfaces to a shared coprocessor resource
US6518787B1 (en) 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
ATE437476T1 (en) 2000-10-06 2009-08-15 Pact Xpp Technologies Ag CELL ARRANGEMENT WITH SEGMENTED INTERCELL STRUCTURE
US6525678B1 (en) 2000-10-06 2003-02-25 Altera Corporation Configuring a programmable logic device
US20020045952A1 (en) 2000-10-12 2002-04-18 Blemel Kenneth G. High performance hybrid micro-computer
US6398383B1 (en) 2000-10-30 2002-06-04 Yu-Hwei Huang Flashlight carriable on one's person
JP3636986B2 (en) 2000-12-06 2005-04-06 松下電器産業株式会社 Semiconductor integrated circuit
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
EP1346280A1 (en) 2000-12-20 2003-09-24 Koninklijke Philips Electronics N.V. Data processing device with a configurable functional unit
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6426649B1 (en) 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US6392912B1 (en) 2001-01-10 2002-05-21 Chameleon Systems, Inc. Loading data plane on reconfigurable chip
US7020673B2 (en) 2001-01-19 2006-03-28 Sony Corporation Reconfigurable arithmetic device and arithmetic system including that arithmetic device and address generation device and interleave device applicable to arithmetic system
US20020099759A1 (en) 2001-01-24 2002-07-25 Gootherts Paul David Load balancer with starvation avoidance
US6633242B2 (en) * 2001-02-08 2003-10-14 Sun Microsystems, Inc. Entropy coding using adaptable prefix codes
US6847370B2 (en) 2001-02-20 2005-01-25 3D Labs, Inc., Ltd. Planar byte memory organization with linear access
US7210129B2 (en) 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
GB2373595B (en) * 2001-03-15 2005-09-07 Italtel Spa A system of distributed microprocessor interfaces toward macro-cell based designs implemented as ASIC or FPGA bread boarding and relative common bus protocol
US6836839B2 (en) * 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US20020143505A1 (en) 2001-04-02 2002-10-03 Doron Drusinsky Implementing a finite state machine using concurrent finite state machines with delayed communications and no shared control signals
US6792588B2 (en) 2001-04-02 2004-09-14 Intel Corporation Faster scalable floorplan which enables easier data control flow
WO2002082267A1 (en) 2001-04-06 2002-10-17 Wind River Systems, Inc. Fpga coprocessing system
US6836842B1 (en) 2001-04-24 2004-12-28 Xilinx, Inc. Method of partial reconfiguration of a PLD in which only updated portions of configuration data are selected for reconfiguring the PLD
US6999984B2 (en) 2001-05-02 2006-02-14 Intel Corporation Modification to reconfigurable functional unit in a reconfigurable chip to perform linear feedback shift register function
US6802026B1 (en) 2001-05-15 2004-10-05 Xilinx, Inc. Parameterizable and reconfigurable debugger core generators
JP3580785B2 (en) 2001-06-29 2004-10-27 株式会社半導体理工学研究センター Look-up table, programmable logic circuit device having look-up table, and method of configuring look-up table
US7043416B1 (en) 2001-07-27 2006-05-09 Lsi Logic Corporation System and method for state restoration in a diagnostic module for a high-speed microprocessor
US7383421B2 (en) 2002-12-05 2008-06-03 Brightscale, Inc. Cellular engine for a data processing system
US7036114B2 (en) 2001-08-17 2006-04-25 Sun Microsystems, Inc. Method and apparatus for cycle-based computation
US7216204B2 (en) 2001-08-27 2007-05-08 Intel Corporation Mechanism for providing early coherency detection to enable high performance memory updates in a latency sensitive multithreaded environment
US6874108B1 (en) 2001-08-27 2005-03-29 Agere Systems Inc. Fault tolerant operation of reconfigurable devices utilizing an adjustable system clock
US20030056091A1 (en) 2001-09-14 2003-03-20 Greenberg Craig B. Method of scheduling in a reconfigurable hardware architecture with multiple hardware configurations
US20030055861A1 (en) 2001-09-18 2003-03-20 Lai Gary N. Multipler unit in reconfigurable chip
US20030052711A1 (en) 2001-09-19 2003-03-20 Taylor Bradley L. Despreader/correlator unit for use in reconfigurable chip
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US6625631B2 (en) * 2001-09-28 2003-09-23 Intel Corporation Component reduction in montgomery multiplier processing element
US7000161B1 (en) 2001-10-15 2006-02-14 Altera Corporation Reconfigurable programmable logic system with configuration recovery mode
US20030123579A1 (en) 2001-11-16 2003-07-03 Saeid Safavi Viterbi convolutional coding method and apparatus
US6886092B1 (en) 2001-11-19 2005-04-26 Xilinx, Inc. Custom code processing in PGA by providing instructions from fixed logic processor portion to programmable dedicated processor portion
US6668237B1 (en) 2002-01-17 2003-12-23 Xilinx, Inc. Run-time reconfigurable testing of programmable logic devices
US20030154349A1 (en) 2002-01-24 2003-08-14 Berg Stefan G. Program-directed cache prefetching for media processors
DE20221985U1 (en) 2002-02-01 2010-03-04 Tridonicatco Gmbh & Co. Kg Electronic ballast for gas discharge lamp
US6476634B1 (en) 2002-02-01 2002-11-05 Xilinx, Inc. ALU implementation in single PLD logic cell
US6961924B2 (en) 2002-05-21 2005-11-01 International Business Machines Corporation Displaying variable usage while debugging
US20070083730A1 (en) 2003-06-17 2007-04-12 Martin Vorbach Data processing device and method
US6865662B2 (en) * 2002-08-08 2005-03-08 Faraday Technology Corp. Controlling VLIW instruction operations supply to functional units using switches based on condition head field
US6803787B1 (en) 2002-09-25 2004-10-12 Lattice Semiconductor Corp. State machine in a programmable logic device
US7873811B1 (en) 2003-03-10 2011-01-18 The United States Of America As Represented By The United States Department Of Energy Polymorphous computing fabric
US7412581B2 (en) 2003-10-28 2008-08-12 Renesas Technology America, Inc. Processor for virtual machines and method therefor
US8495122B2 (en) 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7472155B2 (en) 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US7870182B2 (en) 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US7567997B2 (en) 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US7840627B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7038952B1 (en) 2004-05-04 2006-05-02 Xilinx, Inc. Block RAM with embedded FIFO buffer
US7759968B1 (en) 2006-09-27 2010-07-20 Xilinx, Inc. Method of and system for verifying configuration data
DE102006054052B4 (en) 2006-11-16 2018-11-29 Siemens Aktiengesellschaft Rotary joint
US7971051B2 (en) 2007-09-27 2011-06-28 Fujitsu Limited FPGA configuration protection and control using hardware watchdog timer
US20090193384A1 (en) 2008-01-25 2009-07-30 Mihai Sima Shift-enabled reconfigurable device
US8790099B2 (en) 2008-01-29 2014-07-29 Dafeng Fengtai Fluid Machinery Technology Co., Ltd. Rotary compressor with synchronous turning between cylinder block and rotor
JP2010277303A (en) 2009-05-28 2010-12-09 Renesas Electronics Corp Semiconductor device and failure detection method

Patent Citations (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10450A (en) * 1854-01-24 Thomas peossbr
US2067477A (en) * 1931-03-20 1937-01-12 Allis Chalmers Mfg Co Gearing
US3242998A (en) * 1962-05-28 1966-03-29 Wolf Electric Tools Ltd Electrically driven equipment
US3564506A (en) * 1968-01-17 1971-02-16 Ibm Instruction retry byte counter
US4151611A (en) * 1976-03-26 1979-04-24 Tokyo Shibaura Electric Co., Ltd. Power supply control system for memory systems
US4044243A (en) * 1976-07-23 1977-08-23 Nestor Associates Information processing system
US4498134A (en) * 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
US4498172A (en) * 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4739474A (en) * 1983-03-10 1988-04-19 Martin Marietta Corporation Geometric-arithmetic parallel processor
US4566102A (en) * 1983-04-18 1986-01-21 International Business Machines Corporation Parallel-shift error reconfiguration
US4571736A (en) * 1983-10-31 1986-02-18 University Of Southwestern Louisiana Digital communication system employing differential coding and sample robbing
US4720778A (en) * 1985-01-31 1988-01-19 Hewlett Packard Company Software debugging analyzer
US5485104A (en) * 1985-03-29 1996-01-16 Advanced Micro Devices, Inc. Logic allocator for a programmable logic device
US4720780A (en) * 1985-09-17 1988-01-19 The Johns Hopkins University Memory-linked wavefront array processor
US4910665A (en) * 1986-09-02 1990-03-20 General Electric Company Distributed processing system including reconfigurable elements
US5600265A (en) * 1986-09-19 1997-02-04 Actel Corporation Programmable interconnect architecture
US4992933A (en) * 1986-10-27 1991-02-12 International Business Machines Corporation SIMD array processor with global instruction control and reprogrammable instruction decoders
US4891810A (en) * 1986-10-31 1990-01-02 Thomson-Csf Reconfigurable computing device
US4918440A (en) * 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
US4811214A (en) * 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US5103311A (en) * 1988-01-11 1992-04-07 U.S. Philips Corporation Data processing module and video processing system incorporating same
US5303172A (en) * 1988-02-16 1994-04-12 Array Microsystems Pipelined combination and vector signal processor
US5287511A (en) * 1988-07-11 1994-02-15 Star Semiconductor Corporation Architectures and methods for dividing processing tasks into tasks for a programmable real time signal processor and tasks for a decision making microprocessor interfacing therewith
US5010401A (en) * 1988-08-11 1991-04-23 Mitsubishi Denki Kabushiki Kaisha Picture coding and decoding apparatus using vector quantization
US4901268A (en) * 1988-08-19 1990-02-13 General Electric Company Multiple function data processor
US5204935A (en) * 1988-08-19 1993-04-20 Fuji Xerox Co., Ltd. Programmable fuzzy logic circuits
US5081375A (en) * 1989-01-19 1992-01-14 National Semiconductor Corp. Method for operating a multiple page programmable logic device
US5491353A (en) * 1989-03-17 1996-02-13 Xilinx, Inc. Configurable cellular array
US5287472A (en) * 1989-05-02 1994-02-15 Tandem Computers Incorporated Memory system using linear array wafer scale integration architecture
US5203005A (en) * 1989-05-02 1993-04-13 Horst Robert W Cell structure for linear array wafer scale integration architecture with capability to open boundary i/o bus without neighbor acknowledgement
US5109503A (en) * 1989-05-22 1992-04-28 Ge Fanuc Automation North America, Inc. Apparatus with reconfigurable counter includes memory for storing plurality of counter configuration files which respectively define plurality of predetermined counters
US5379444A (en) * 1989-07-28 1995-01-03 Hughes Aircraft Company Array of one-bit processors each having only one bit of memory
US5287532A (en) * 1989-11-14 1994-02-15 Amt (Holdings) Limited Processor elements having multi-byte structure shift register for shifting data either byte wise or bit wise with single-bit output formed at bit positions thereof spaced by one byte
US5410723A (en) * 1989-11-21 1995-04-25 Deutsche Itt Industries Gmbh Wavefront array processor for blocking the issuance of first handshake signal (req) by the presence of second handshake signal (ack) which indicates the readyness of the receiving cell
US5099447A (en) * 1990-01-22 1992-03-24 Alliant Computer Systems Corporation Blocked matrix multiplication for computers with hierarchical memory
US5483620A (en) * 1990-05-22 1996-01-09 International Business Machines Corp. Learning machine synapse processor system apparatus
US5734921A (en) * 1990-11-13 1998-03-31 International Business Machines Corporation Advanced parallel array processor computer package
US5276836A (en) * 1991-01-10 1994-01-04 Hitachi, Ltd. Data processing device with common memory connecting mechanism
US5301284A (en) * 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5301344A (en) * 1991-01-29 1994-04-05 Analogic Corporation Multibus sequential processor to perform in parallel a plurality of reconfigurable logic operations on a plurality of data sets
US5408643A (en) * 1991-02-01 1995-04-18 Nec Corporation Watchdog timer with a non-masked interrupt masked only when a watchdog timer has been cleared
US5717890A (en) * 1991-04-30 1998-02-10 Kabushiki Kaisha Toshiba Method for processing data by utilizing hierarchical cache memories and processing system with the hierarchiacal cache memories
US5485103A (en) * 1991-09-03 1996-01-16 Altera Corporation Programmable logic array with local and global conductors
US5294119A (en) * 1991-09-27 1994-03-15 Taylor Made Golf Company, Inc. Vibration-damping device for a golf club
US5450339A (en) * 1991-10-10 1995-09-12 Harris Corp Noncanonic fully systolic LMS adaptive architecture
US5867691A (en) * 1992-03-13 1999-02-02 Kabushiki Kaisha Toshiba Synchronizing system between function blocks arranged in hierarchical structures and large scale integrated circuit using the same
US5611049A (en) * 1992-06-03 1997-03-11 Pitts; William M. System for accessing distributed data cache channel at each network node to pass requests and data
US5386154A (en) * 1992-07-23 1995-01-31 Xilinx, Inc. Compact logic cell for field programmable gate array chip
US5489857A (en) * 1992-08-03 1996-02-06 Advanced Micro Devices, Inc. Flexible synchronous/asynchronous cell structure for a high density programmable logic device
US5867723A (en) * 1992-08-05 1999-02-02 Sarnoff Corporation Advanced massively parallel computer with a secondary storage device coupled through a secondary storage interface
US5497498A (en) * 1992-11-05 1996-03-05 Giga Operations Corporation Video processing module using a second programmable logic device which reconfigures a first programmable logic device for data transformation
US5857109A (en) * 1992-11-05 1999-01-05 Giga Operations Corporation Programmable logic device for real time video processing
US5392437A (en) * 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units
US5386518A (en) * 1993-02-12 1995-01-31 Hughes Aircraft Company Reconfigurable computer interface and method
US5596742A (en) * 1993-04-02 1997-01-21 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5606698A (en) * 1993-04-26 1997-02-25 Cadence Design Systems, Inc. Method for deriving optimal code schedule sequences from synchronous dataflow graphs
US5600845A (en) * 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5493239A (en) * 1995-01-31 1996-02-20 Motorola, Inc. Circuit and method of configuring a field programmable gate array
US5862403A (en) * 1995-02-17 1999-01-19 Kabushiki Kaisha Toshiba Continuous data server apparatus and data transfer scheme enabling multiple simultaneous data accesses
US5705938A (en) * 1995-05-02 1998-01-06 Xilinx, Inc. Programmable switch for FPGA input/output signals
US5600597A (en) * 1995-05-02 1997-02-04 Xilinx, Inc. Register protection structure for FPGA
US5706482A (en) * 1995-05-31 1998-01-06 Nec Corporation Memory access controller
US5870620A (en) * 1995-06-01 1999-02-09 Sharp Kabushiki Kaisha Data driven type information processor with reduced instruction execution requirements
US5889982A (en) * 1995-07-01 1999-03-30 Intel Corporation Method and apparatus for generating event handler vectors based on both operating mode and event type
US5734869A (en) * 1995-09-06 1998-03-31 Chen; Duan-Ping High speed logic circuit simulator
US5608342A (en) * 1995-10-23 1997-03-04 Xilinx, Inc. Hierarchical programming of electrically configurable integrated circuits
US6020758A (en) * 1996-03-11 2000-02-01 Altera Corporation Partially reconfigurable programmable logic device
US6014509A (en) * 1996-05-20 2000-01-11 Atmel Corporation Field programmable gate array having access to orthogonal and diagonal adjacent neighboring cells
US5887165A (en) * 1996-06-21 1999-03-23 Mirage Technologies, Inc. Dynamically reconfigurable hardware system for real-time control of processes
US6023742A (en) * 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
US6023564A (en) * 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
US5859544A (en) * 1996-09-05 1999-01-12 Altera Corporation Dynamic configurable elements for programmable logic devices
US5828858A (en) * 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US6178494B1 (en) * 1996-09-23 2001-01-23 Virtual Computer Corporation Modular, hybrid processor and method for producing a modular, hybrid processor
US5860119A (en) * 1996-11-25 1999-01-12 Vlsi Technology, Inc. Data-packet fifo buffer system with end-of-packet flags
US7650448B2 (en) * 1996-12-20 2010-01-19 Pact Xpp Technologies Ag I/O and memory bus system for DFPS and units with two- or multi-dimensional programmable cell architectures
US6021490A (en) * 1996-12-20 2000-02-01 Pact Gmbh Run-time reconfiguration method for programmable units
US6038650A (en) * 1997-02-04 2000-03-14 Pactgmbh Method for the automatic address generation of modules within clusters comprised of a plurality of these modules
US5857097A (en) * 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
US6507898B1 (en) * 1997-04-30 2003-01-14 Canon Kabushiki Kaisha Reconfigurable data cache controller
US6035371A (en) * 1997-05-28 2000-03-07 3Com Corporation Method and apparatus for addressing a static random access memory device based on signals for addressing a dynamic memory access device
US6011407A (en) * 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US6038656A (en) * 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
US6188650B1 (en) * 1997-10-21 2001-02-13 Sony Corporation Recording and reproducing system having resume function
US6128724A (en) * 1997-12-11 2000-10-03 Leland Stanford Junior University Computation using codes for controlling configurable computational circuit
US6173419B1 (en) * 1998-05-14 2001-01-09 Advanced Technology Materials, Inc. Field programmable gate array (FPGA) emulator for debugging software
US6681388B1 (en) * 1998-10-02 2004-01-20 Real World Computing Partnership Method and compiler for rearranging array data into sub-arrays of consecutively-addressed elements for distribution processing
US6044030A (en) * 1998-12-21 2000-03-28 Philips Electronics North America Corporation FIFO unit with single pointer
US6512804B1 (en) * 1999-04-07 2003-01-28 Applied Micro Circuits Corporation Apparatus and method for multiple serial data synchronization using channel-lock FIFO buffers optimized for jitter
US6204687B1 (en) * 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US20020013861A1 (en) * 1999-12-28 2002-01-31 Intel Corporation Method and apparatus for low overhead multithreaded communication in a parallel processing environment
US20040025005A1 (en) * 2000-06-13 2004-02-05 Martin Vorbach Pipeline configuration unit protocols and communication
US6538470B1 (en) * 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US7346644B1 (en) * 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US20040015899A1 (en) * 2000-10-06 2004-01-22 Frank May Method for processing data
US20050066213A1 (en) * 2001-03-05 2005-03-24 Martin Vorbach Methods and devices for treating and processing data
US7325123B2 (en) * 2001-03-22 2008-01-29 Qst Holdings, Llc Hierarchical interconnect for configuring separate interconnects for each group of fixed and diverse computational elements
US20060036988A1 (en) * 2001-06-12 2006-02-16 Altera Corporation Methods and apparatus for implementing parameterizable processors and peripherals
US7657877B2 (en) * 2001-06-20 2010-02-02 Pact Xpp Technologies Ag Method for processing data
US6868476B2 (en) * 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US20030056062A1 (en) * 2001-09-14 2003-03-20 Prabhu Manohar K. Preemptive write back controller
US20030061542A1 (en) * 2001-09-25 2003-03-27 International Business Machines Corporation Debugger program time monitor
US20040039880A1 (en) * 2002-08-23 2004-02-26 Vladimir Pentkovski Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Haynes, Simon D. etal., A Reconfigurable Multiplier Array for Video Image Processing Tasks Suitable for Embedding In An FPGA Structure, April 1998, IEEE, 9 pages. *
Maharatna, K. etal., A 64-Point Fourier Transform Chip for High Speed Wireless LAN Application Using OFDM, 2004,IEEE, 10 pages. *

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8638119B2 (en) 2004-02-14 2014-01-28 Tabula, Inc. Configurable circuits, IC's, and systems
US7872496B2 (en) 2004-02-14 2011-01-18 Tabula, Inc. Method of mapping a user design defined for a user design cycle to an IC with multiple sub-cycle reconfigurable circuits
US20090058461A1 (en) * 2004-02-14 2009-03-05 Herman Schmit Configurable Circuits, IC's, and Systems
US7948266B2 (en) * 2004-02-14 2011-05-24 Tabula, Inc. Non-sequentially configurable IC
US8193830B2 (en) 2004-02-14 2012-06-05 Tabula, Inc. Configurable circuits, IC's, and systems
US8305110B2 (en) 2004-02-14 2012-11-06 Tabula, Inc. Non-sequentially configurable IC
US8810277B2 (en) 2004-02-14 2014-08-19 Tbula, Inc. Non-sequentially configurable IC
US8159264B2 (en) 2004-11-08 2012-04-17 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US8183882B2 (en) 2004-11-08 2012-05-22 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US9018978B2 (en) 2005-07-15 2015-04-28 Tabula, Inc. Runtime loading of configuration data in a configurable IC
US8112468B1 (en) 2007-03-22 2012-02-07 Tabula, Inc. Method and apparatus for performing an operation with a plurality of sub-operations in a configurable IC
US8935640B2 (en) 2007-06-27 2015-01-13 Tabula, Inc. Transport network
US8138789B2 (en) 2007-09-06 2012-03-20 Tabula, Inc. Configuration context switcher with a clocked storage element
US8344755B2 (en) 2007-09-06 2013-01-01 Tabula, Inc. Configuration context switcher
US8324931B2 (en) 2007-09-06 2012-12-04 Tabula, Inc. Configuration context switcher with a latch
US8248101B2 (en) 2007-09-06 2012-08-21 Tabula, Inc. Reading configuration data from internal storage node of configuration storage circuit
US8990651B2 (en) 2007-09-19 2015-03-24 Tabula, Inc. Integrated circuit (IC) with primary and secondary networks and device containing such an IC
US20110029830A1 (en) * 2007-09-19 2011-02-03 Marc Miller integrated circuit (ic) with primary and secondary networks and device containing such an ic
US8755484B2 (en) 2008-08-04 2014-06-17 Tabula, Inc. Trigger circuits and event counters for an IC
US9494967B2 (en) 2008-08-04 2016-11-15 Altera Corporation Trigger circuits and event counters for an IC
US8788987B2 (en) 2010-06-23 2014-07-22 Tabula, Inc. Rescaling
US9257986B2 (en) 2010-06-23 2016-02-09 Altera Corporation Rescaling
US8760193B2 (en) 2011-07-01 2014-06-24 Tabula, Inc. Configurable storage elements
US9148151B2 (en) 2011-07-13 2015-09-29 Altera Corporation Configurable storage elements
US9203397B1 (en) 2011-12-16 2015-12-01 Altera Corporation Delaying start of user design execution
US10354706B1 (en) 2011-12-16 2019-07-16 Altera Corporation Delaying start of user design execution
US9000801B1 (en) 2013-02-27 2015-04-07 Tabula, Inc. Implementation of related clocks
US9154137B2 (en) 2013-07-04 2015-10-06 Altera Corporation Non-intrusive monitoring and control of integrated circuits
US9436565B2 (en) 2013-07-04 2016-09-06 Altera Corporation Non-intrusive monitoring and control of integrated circuits
US9558090B2 (en) 2013-07-04 2017-01-31 Altera Corporation Non-intrusive monitoring and control of integrated circuits
US10339022B2 (en) 2013-07-04 2019-07-02 Altera Corporation Non-intrusive monitoring and control of integrated circuits
US9984037B1 (en) 2015-04-27 2018-05-29 Synaptic Engines, Llc Scheduler for a fine grained graph processor
US20180246847A1 (en) * 2015-04-27 2018-08-30 Gautam Kavipurapu Highly efficient scheduler for a fine grained graph processor
US11803507B2 (en) 2018-10-29 2023-10-31 Secturion Systems, Inc. Data stream protocol field decoding by a systolic array
US11263011B2 (en) * 2018-11-28 2022-03-01 International Business Machines Corporation Compound instruction set architecture for a neural inference chip
US20200167158A1 (en) * 2018-11-28 2020-05-28 International Business Machines Corporation Compound instruction set architecture for a neural inference chip
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources
US11392740B2 (en) * 2020-12-18 2022-07-19 SambaNova Systems, Inc. Dataflow function offload to reconfigurable processors
US11609798B2 (en) 2020-12-18 2023-03-21 SambaNova Systems, Inc. Runtime execution of configuration files on reconfigurable processors with varying configuration granularity
US11625283B2 (en) 2020-12-18 2023-04-11 SambaNova Systems, Inc. Inter-processor execution of configuration files on reconfigurable processors using smart network interface controller (SmartNIC) buffers
US11625284B2 (en) 2020-12-18 2023-04-11 SambaNova Systems, Inc. Inter-node execution of configuration files on reconfigurable processors using smart network interface controller (smartnic) buffers
US11847395B2 (en) 2020-12-18 2023-12-19 SambaNova Systems, Inc. Executing a neural network graph using a non-homogenous set of reconfigurable processors
US11886930B2 (en) 2020-12-18 2024-01-30 SambaNova Systems, Inc. Runtime execution of functions across reconfigurable processor
US11886931B2 (en) 2020-12-18 2024-01-30 SambaNova Systems, Inc. Inter-node execution of configuration files on reconfigurable processors using network interface controller (NIC) buffers
US11893424B2 (en) 2020-12-18 2024-02-06 SambaNova Systems, Inc. Training a neural network using a non-homogenous set of reconfigurable processors
US11782760B2 (en) 2021-02-25 2023-10-10 SambaNova Systems, Inc. Time-multiplexed use of reconfigurable hardware

Also Published As

Publication number Publication date
US20140359255A1 (en) 2014-12-04
WO2005045692A2 (en) 2005-05-19
JP2007504688A (en) 2007-03-01
US8812820B2 (en) 2014-08-19
US20100241823A1 (en) 2010-09-23
JP4700611B2 (en) 2011-06-15
EP1676208A2 (en) 2006-07-05
WO2005045692A3 (en) 2006-03-02

Similar Documents

Publication Publication Date Title
US8812820B2 (en) Data processing device and method
US8145881B2 (en) Data processing device and method
WO2005045692A9 (en) Data processing device and method
US10387319B2 (en) Processors, methods, and systems for a configurable spatial accelerator with memory system performance, power reduction, and atomics support features
US10445098B2 (en) Processors and methods for privileged configuration in a spatial array
US10380063B2 (en) Processors, methods, and systems with a configurable spatial accelerator having a sequencer dataflow operator
US10496574B2 (en) Processors, methods, and systems for a memory fence in a configurable spatial accelerator
US10416999B2 (en) Processors, methods, and systems with a configurable spatial accelerator
Khawam et al. The reconfigurable instruction cell array
US20190004878A1 (en) Processors, methods, and systems for a configurable spatial accelerator with security, power reduction, and performace features
US8046563B1 (en) Virtual architectures in a parallel processing environment
Jacob et al. Memory interfacing and instruction specification for reconfigurable processors
US20190101952A1 (en) Processors and methods for configurable clock gating in a spatial array
EP1877927B1 (en) Reconfigurable instruction cell array
CN112148647A (en) Apparatus, method and system for memory interface circuit arbitration
Hartenstein et al. On reconfgurable co-processing units
Gottlieb et al. Clustered programmable-reconfigurable processors
Rettkowski et al. Application-specific processing using high-level synthesis for networks-on-chip
Poznanovic The emergence of non-von neumann processors
Tse et al. NanoMesh: An asynchronous kilo-core system-on-chip
Cathey et al. A reconfigurable distributed computing fabric exploiting multilevel parallelism
US20220244965A1 (en) Generation framework for ultra-low power cgras
Takano Performance scalability of adaptive processor architecture
Shehan et al. Optimization and evaluation of the reconfigurable grid ALU processor
Ang et al. ACRES architecture and compilation

Legal Events

Date Code Title Description
AS Assignment

Owner name: RICHTER, THOMAS,GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PACT XPP TECHNOLOGIES AG;REEL/FRAME:024035/0263

Effective date: 20090626

Owner name: KRASS, MAREN,SWITZERLAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PACT XPP TECHNOLOGIES AG;REEL/FRAME:024035/0263

Effective date: 20090626

Owner name: KRASS, MAREN, SWITZERLAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PACT XPP TECHNOLOGIES AG;REEL/FRAME:024035/0263

Effective date: 20090626

Owner name: RICHTER, THOMAS, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PACT XPP TECHNOLOGIES AG;REEL/FRAME:024035/0263

Effective date: 20090626

AS Assignment

Owner name: PACT XPP TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RICHTER, THOMAS;KRASS, MAREN;REEL/FRAME:032225/0089

Effective date: 20140117

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

AS Assignment

Owner name: SCIENTIA SOL MENTIS AG, SWITZERLAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PACT XPP TECHNOLOGIES AG;REEL/FRAME:045532/0745

Effective date: 20180315

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8